www.wikidata.de-de.nina.az
Der Intel Itanium 2 ist ein 64 Bit Mikroprozessor CPU mit EPIC Befehlssatz eine VLIW Architektur von Intel Der VLIW Befehlssatz ermoglicht es bis zu drei Maschinenbefehle zu bundeln diese Bundel werden dann gleichzeitig in einem Arbeitszyklus ausgefuhrt Er ist der verbesserte Nachfolger des Intel Itanium Prozessors dessen Ursprunge auf eine gemeinsame Entwicklung von Hewlett Packard HP und Intel zuruckgehen Wie der Itanium nutzt auch der Itanium 2 den eigenen nativen IA 64 VLIW Befehlssatz Die Befehle der alteren x86 Prozessor Architektur konnen ebenfalls aber nur in einem langsamen Firmware Emulationsmodus ausgefuhrt werden Daneben bestehen Erweiterungen zur leichteren Migration der Hewlett Packard PA RISC Prozessor Architektur Im Vergleich zu seinen Vorgangern wartet der Itanium 2 mit zahlreichen Funktionen aus dem Grossrechnerbereich auf hierzu gehoren z B spezielle Fahigkeiten aus den Bereichen Fehlertoleranz und Virtualisierung lt lt Itanium 2 Itanium 2 LogoProduktion 2002 bis ca 2020Produzenten Intel HPProzessortakt 900 MHz bis 2533 MHzL3 Cachegrosse 1 5 MiB bis 32 MiBFertigung 180 nm bis 32 nmBefehlssatz IA 64Mikroarchitektur ItaniumSockel PAC418 PAC611Namen der Prozessorkerne McKinley Madison Deerfield Hondo Madison 9M Fanwood Fanwood LV Montecito Fanwood LV Montecito MillingtonMillington LV Montvale Tukwila Poulson Inhaltsverzeichnis 1 Entwicklung 2 Probleme 3 Weitere Entwicklung 4 Modelldaten 4 1 McKinley 4 2 Madison 4 3 Deerfield 4 4 Hondo 4 5 Fanwood 4 6 Montecito 9000er Serie 4 7 Montvale 9100er Serie 4 8 Tukwila 9300er Serie 4 9 Poulson 9500er Serie 4 10 Kittson 9700er Serie 5 Siehe auch 6 Weblinks 7 EinzelnachweiseEntwicklung Bearbeiten nbsp Itanium 2 Funktionsblockschaltbild nbsp Itanium 2 Altes Logo nbsp Itanium 2 CartridgeDer im Juli 2002 auf den Markt gebrachte McKinley Kern behebt als erster Itanium 2 einige der grossten Mankos des alten Itanium Merced Kern So wurden die hohen Latenzzeiten der L1 und L2 Caches gesenkt und mit der Integration des L3 Cache auf dem Die auch dessen Latenz verbessert Der verhaltnismassig langsame Front Side Bus wurde von 64 auf 128 Bit verbreitert und von 266 auf 400 MHz beschleunigt Auch wurde die Ausfuhrungsgeschwindigkeit der x86 Emulation erhoht Die Architektur des Itanium 2 ist prinzipiell mit der des Itanium identisch Etwa ein Jahr spater wurde die zweite Revision des Itanium 2 Designs veroffentlicht Madison Kern Neu im Portfolio waren Prozessoren mit 1 5 GHz bei 6 MiB Cache 1 4 GHz mit 4 MiB und 1 3 GHz mit 3 MiB Die 1 5 GHz Version erreichte damals die hochsten SpecFP und SpecInt Werte eines in Serie gefertigten Einzelprozessors Mit dem Deerfield Kern wurde im dritten Quartal 2003 eine stromsparende Version mit 1 GHz und 1 5 MiB L3 Cache auf den Markt gebracht Mit einer maximalen thermischen Verlustleistung Thermal Design Power TDP von 62 W zielt er besonders auf Cluster bei denen geringer Stromverbrauch und gute Kuhlung wichtig sind Probleme BearbeitenDer Itanium war seinerzeit das zweitteuerste Computerprojekt der Geschichte gleich hinter der IBM 360 Trotz der Geldmengen die in das Projekt investiert wurden galt die Zukunftsfahigkeit des Produkts bereits vor seiner Einstellung als unsicher wobei es zwei Hauptprobleme gab Zum einen zeigen sich die theoretischen Vorteile des VLIW Designs in Sachen verminderter Chip Komplexitat nicht am tatsachlichen Prozessor Der Itanium 2 hat uber 221 Millionen Transistoren die zusammen 130 Watt an Leistung benotigen Durch die Notwendigkeit eines grosseren L3 Caches wird sich die Transistorzahl weiter erhohen Intel versuchte dafur an anderer Stelle Schaltkreise zu sparen Allerdings ist die IA 64 Architektur auch nie auf diesen Vorteil fixiert gewesen da mit dem Ziel fur jeden Datentyp eine grosse Anzahl an Registern zu bieten um Speicherbandbreite einzusparen eine grosse Anzahl Transistoren ganz bewusst Teil des Konzepts ist Die Entwicklung eines Compilers der dem Itanium erlaubt sein Potenzial auszuspielen hat sich als schwierig erwiesen ist aber fur eine hohe Leistung unabdingbar Obwohl in dieser Richtung standige Verbesserungen erreicht werden gilt die Portierung von Software auf die Itanium Architektur mit Augenmerk auf die Geschwindigkeitsoptimierung als besonders schwierig Mit der Auslieferung des Itanium 2 hat sich aber die Unterstutzung von Betriebssystemen im Vergleich zu vorher sehr verbessert Portiert wurden HP UX Linux bereits vor Erscheinen des Prozessors fur Kernel ab 2 3 35 entwickelt Distributionen Debian ab Version 3 0 Woody Red Hat Linux ab Version 7 2 Red Hat Enterprise Linux ab Version 3 ES AS SUSE Linux Enterprise Server ab Version 8 FreeBSD Windows XP Windows Server 2003 Windows Server 2008 und OpenVMS ab Version 8 0 1 An der IA 64 Portierung fur NetBSD wird derzeit gearbeitet HP plante seine Tru64 UNIX Kunden zum Umstieg auf Itanium Plattformen unter HP UX Linux oder Windows NT Linie zu bewegen Zu den Rivalen IBM mit der konkurrierenden IBM Power Architektur und Sun mit der Sparc Architektur kam eine weitere Konkurrenz fur Intels Itanium Architektur aus dem Hause AMD hinzu die AMD64 Architektur und in Folge auch aus dem eigenen Hause die Intel 64 Architektur auch x86 64 oder EM64T Netburst Architektur der aktuellen Pentium und Xeon Prozessoren Sie folgt AMDs und Intels fruherer Vorgehensweise eine einzelne Architektur nach und nach zu erweitern erst vom 16 Bit 8086 zum 32 Bit 80386 und neueren Modellen ohne die Abwartskompatibilitat zu opfern AMD64 erweiterte die 32 Bit x86 Architektur durch 64 Bit Register und Kompatibilitatsmodi fur alte 32 Bit und 16 Bit Software Die Auslieferung von AMD64 Systemen begann Mitte 2003 und entwickelte sich sehr erfolgreich Intel integrierte daher ab 2004 ebenfalls die x86 64 Erweiterungen in eigene Systeme Ein Misserfolg des Itanium 2 wurde auch einen Ruckschlag fur Hersteller wie Hewlett Packard bedeuten HP hat seine hauseigene CPU Architektur PA RISC zugunsten des Itanium 2 eingestellt HP und SGI liefern neben Itanium auch zusatzlich AMD64 Systeme aus sowohl mit Xeon wie auch Opteron CPUs Im Supercomputingbereich sind inzwischen sehr viele Systeme x86 und AMD64 basierend Leistungsvergleich mit Power7 und XeonNach Messungen Benchmarks aus dem Jahre 2010 mit Itanium 9350 liegt die CPU im SPEC Vergleich CINT 2006 Rate und CFP 2006 Rate sehr deutlich hinter der aktuellen Power7 Familie von IBM und ebenfalls hinter den aktuellen Xeon CPUs von Intel zur besseren Vergleichbarkeit wurden die Power7 Testergebnisse auf 8 Rechenkerne normiert 2 HP Integrity BL860c i2 1 73 GHz 24 MiB Quad Core Intel Itanium 9350 CINT 2006 Rate 134 Cores 8 CPUs 2 Datum Marz 2010 HP Integrity BL860c i2 1 73 GHz 24 MiB Quad Core Intel Itanium 9350 CFP 2006 Rate 136 Cores 8 CPUs 2 Datum Marz 2010 IBM BladeCenter PS702 Express Power7 3 0 GHz 8 Core estimated CINT 2006 Rate 260 Cores 8 CPUs 1 Datum April 2010 IBM BladeCenter PS702 Express Power7 3 0 GHz 8 Core estimated CFP 2006 Rate 215 Cores 8 CPUs 1 Datum April 2010 Fujitsu PRIMERGY BX620 S5 Intel Xeon E5540 2 53 GHz CINT 2006 Rate 214 Cores 8 CPUs 2 Datum September 2009 Fujitsu PRIMERGY BX620 S5 Intel Xeon E5540 2 53 GHz CFP 2006 Rate 166 Cores 8 CPUs 2 Datum September 2009Weitere Entwicklung BearbeitenAm 10 Februar 2010 wurde der nachste Itanium Meilenstein mit dem Codenamen Tukwila vorgestellt an dem viele Ingenieure des abgebrochenen Alpha EV8 Projekts mitarbeiteten Obwohl der neue Prozessor eine bis zu funfmal hohere Leistung als sein Vorganger bieten soll werden als herausragendes neues Feature nicht die Geschwindigkeit sondern die Eignung fur missionskritische Anwendungen herausgestellt 3 Innerhalb eines Zeitraums von 90 Tagen wollte HP erste Tukwila basierte Server prasentieren fur diesen Termin wurden auch erste Benchmarks mit dem neuen Prozessor erwartet Tukwila ist als monolithischer Quad Core Prozessor angelegt der dank Hyper Threading uber acht logische Kerne verfugt 4 Die Anbindung an das System ubernimmt nun kein Front Side Bus mehr sondern erstmals zu benachbarten CPUs zum Arbeitsspeicher und zum Chipsatz hin eine QPI 5 basierte Verbindung Allein zum Speicher hin wird ein integrierter Vierkanal Speichercontroller fur DDR3 Speicher eingesetzt der dank Double Device Data Correction auch tolerant gegenuber zwei aufeinanderfolgenden Fehlern sein soll 4 Jedem Prozessorkern steht ein 32 KiB L1 Cache und ein 768 KiB grosser L2 Cache zur Verfugung dazu kommt ein L3 Cache mit pro Core max 6 MiB Grosse Tukwila wird in 65 nm Strukturbreite gefertigt und erreicht mit 24 MiB L3 Cache eine Die Flache von 699 mm bei 2 049 Milliarden Transistoren Es gibt Versionen mit 130 bis 185 Watt TDP im Vergleich zu den Vorgangern aber mit deutlich hoheren Taktraten von bis zu 1 86 GHz 6 Daneben will Intel ab dem Tukwila auf eine so genannte common platform setzen die auch kunftige Xeon CPUs auf Nehalem Basis einbezieht So sollen in Zukunft x86 und IA 64 Prozessoren denselben Chipsatz verwenden konnen Auch wenn Intel bereits zwei weitere Tukwila Nachfolger namens Poulson 2012 erschienen und Kittson 2014 erwahnt hatte 4 gilt die Zukunft der Itanium Serie inzwischen nicht mehr als unbegrenzt gesichert Da sich die Modellpflege und verbesserung seit 2007 immer wieder erheblich verzogert hatte sind inzwischen mehrere grosse Hardwarehersteller vom Itanium abgeruckt 7 Zu den grossen Herstellern die Stand 2009 Itanium basierte Losungen anbieten zahlen laut Itanium Solutions Alliance HP mit 90 Marktanteil sowie in kleinerem Umfang Fujitsu NEC Hitachi und SGI Zudem wird die Leistung der verfugbaren Itanium CPUs mittlerweile Stand 2009 z B von Intels eigenen nehalem basierten Xeon Prozessoren in vielen Punkten erreicht oder gar ubertroffen Gleiches gilt analog auch fur die IBM Power 6 Generation die schon seit 2009 mit lieferbaren Multicore CPUs und Taktfrequenzen von uber 4 GHz aufwartet und ebenfalls seit 2010 durch eine weiter leistungsgesteigerte Power 7 Generation 45 nm 8 Cores 4 GHz erweitert wurde Auch ist fraglich ob das Itanium Projekt fur Intel aus finanzieller Sicht uberhaupt noch sinnvoll ist Red Hat hat bekanntgegeben dass die Version 6 von Red Hat Enterprise Linux nicht mehr fur Itanium umgesetzt werden soll 8 Auch Microsoft verabschiedete sich laut Blog der Windows Server Division 9 vom Itanium Microsoft lasst die regulare Kunden Unterstutzung fur Intels Itanium Architektur voraussichtlich zum 9 Juli 2013 auslaufen extended support bis 10 Juli 2018 Windows Server 2008 R2 SQL Server 2008 R2 und Visual Studio 2010 sollen die letzten Produkte des Softwareriesen sein die fur Intels Hochverfugbarkeits prozessor entwickelt wurden Als Grund wird die Leistungsfahigkeit der aktuellen x64 AMD64 und Intel 64 Plattform genannt die mittlerweile auch den TPC E Benchmark OLTP mit 3 141 76 Transaktionen pro Sekunde tpsE anfuhrt und somit laut Microsoft ihre Eignung auch fur geschaftskritische Bereiche unter Beweis stellt Ebenso hat Oracle am 22 Marz 2011 bekanntgegeben die Weiterentwicklung von Software fur Itanium zu beenden 10 was jedoch eine Klage von HP nach sich zog aus der HP letztlich auch als Sieger hervorging HP war der Ansicht dass Oracle aufgrund von Vertragen langfristig dazu verpflichtet sei Itanium zu unterstutzen 11 Am 12 Mai 2017 wurden die letzten Itanium Prozessoren von Intel veroffentlicht Die Itanium 9700 sollen bis 2025 unterstutzt werden und stellen lediglich ein Taktupgrade gegenuber den Itanium 9500 dar 12 Die Produktion endete im Jahr 2021 13 Modelldaten BearbeitenMcKinley Bearbeiten L1 Cache 16 16 KiB Daten Instruktionen L2 Cache 256 KiB L3 Cache 1 5 und 3 MiB mit Prozessortakt 128 Bit Bus mit 200 MHz DDR FSB400 Betriebsspannung VCore Leistungsaufnahme TDP 130 W Erstes Erscheinungsdatum 8 Juli 2002 Fertigungstechnik 180 nm Die Grosse 421 mm bei 221 Millionen Transistoren Taktraten 900 MHz mit 1 5 MiB L3 Cache 1 000 MHz mit 3 MiB L3 CacheMadison Bearbeiten L1 Cache 16 16 KiB Daten Instruktionen L2 Cache 256 KiB L3 Cache 1 5 3 4 6 und 9 MiB mit Prozessortakt 128 Bit Bus mit 200 und 333 MHz DDR FSB400 und FSB667 Betriebsspannung VCore Leistungsaufnahme TDP 130 W Erstes Erscheinungsdatum 30 Juni 2003 Fertigungstechnik 130 nm Die Grosse 374 mm bei 221 Millionen Transistoren Taktraten 1 3 GHz mit 3 MiB L3 Cache 30 Juni 2003 1 4 GHz bei 1 5 MiB L3 Cache 8 September 2003 1 4 GHz mit 3 MiB L3 Cache 13 April 2004 1 4 GHz mit 4 MiB L3 Cache 30 Juni 2003 1 5 GHz mit 6 MiB L3 Cache 30 Juni 2003 1 6 GHz mit 6 MiB L3 Cache 13 April 2004 1 6 GHz mit 9 MiB L3 Cache 8 November 2004 Deerfield Bearbeiten Deerfield ist eine Low Voltage Variante die auf dem Madison Kern basiert Revision B1 L1 Cache 16 16 KiB Daten Instruktionen L2 Cache 256 KiB L3 Cache 1 5 MiB mit Prozessortakt 128 Bit Bus mit 200 und 333 MHz DDR FSB400 und FSB667 Betriebsspannung VCore Leistungsaufnahme TDP 62 W Erstes Erscheinungsdatum 8 September 2003 Fertigungstechnik 130 nm Die Grosse 374 mm bei 221 Millionen Transistoren Taktraten 1 0 GHz und 1 5 MiB L3 CacheHondo Bearbeiten nbsp Itanium 2 mx2 Oberseite nbsp Itanium 2 mx2 Unterseite Einziger von HP hergestellter Itanium 2 Prozessor der auf dem Madison Kern basiert Itanium 2 mx2 Doppelkernprozessor Dual Core Revision B1 14 L1 Cache Je Kern 16 16 KiB Daten Instruktionen L2 Cache Je Kern 256 KiB L3 Cache Je Kern 4 MiB mit Prozessortakt L4 Cache gemeinsam 32 MiB per FSB angebunden 128 Bit Bus mit 200 MHz DDR FSB400 Betriebsspannung VCore Leistungsaufnahme TDP 62 W Erstes Erscheinungsdatum 2004 Q1 Fertigungstechnik 130 nm Die Grosse 2 374 mm bei je 410 Millionen Transistoren Taktraten 1 1 GHz und 4 MiB L3 CacheFanwood Bearbeiten L1 Cache 16 16 KiB Daten Instruktionen L2 Cache 256 KiB L3 Cache 3 MiB mit Prozessortakt 128 Bit Bus mit 200 und 266 MHz DDR FSB400 und FSB533 Betriebsspannung VCore Leistungsaufnahme TDP 130 W Erstes Erscheinungsdatum 8 November 2004 Fertigungstechnik 130 nm Die Grosse 374 mm bei 221 Millionen Transistoren Taktraten 1 6 GHz mit 3 MiB L3 Cache 8 November 2004 1 3 GHz mit 3 MiB L3 Cache 8 November 2004 Montecito 9000er Serie Bearbeiten Doppelkernprozessor Dual Core ausser Modell 9010 L1 Cache 16 16 KiB Daten Instruktionen L2 Cache 256 1024 KiB Daten Instruktionen L3 Cache Mit Prozessortakt Grosse siehe Modellnummern IVT ausser Modell 9010 SoEMT 128 Bit Bus mit 200 und 266 MHz DDR FSB400 und FSB533 Betriebsspannung VCore Leistungsaufnahme TDP 104 W Erstes Erscheinungsdatum 18 Juli 2006 Fertigungstechnik 90 nm Die Grosse 596 mm bei 1 720 Millionen Transistoren 15 Taktraten 1 40 bis 1 60 GHz Modellnummern 9010 1 60 GHz 6 MiB L3 Cache und nur ein Prozessorkern 9015 1 40 GHz 2 6 MiB L3 Cache 9020 1 42 GHz 2 6 MiB L3 Cache 9030 1 60 GHz 2 4 MiB L3 Cache 9040 1 60 GHz 2 9 MiB L3 Cache 9050 1 60 GHz 2 12 MiB L3 Cache Montvale 9100er Serie Bearbeiten Doppelkernprozessor Dual Core ausser Modell 9110N 16 L1 Cache 16 16 KiB Daten Instruktionen L2 Cache 2 5 MiB Daten Instruktionen L3 Cache Mit Prozessortakt Grosse siehe Modellnummern IVT SoEMT 128 Bit Bus mit 200 und 266 MHz DDR FSB400 FSB533 und FSB667 Betriebsspannung VCore Leistungsaufnahme TDP 75 bis 104 W Erstes Erscheinungsdatum November 2007 17 Fertigungstechnik 90 nm Die Grosse 596 mm bei 1 720 Millionen Transistoren Taktraten 1 42 bis 1 66 GHz Modellnummern 9110N 1 60 GHz 12 MiB L3 Cache und nur ein Prozessorkern 9120N 1 42 GHz 2 6 MiB L3 Cache 9130M 1 66 GHz 2 4 MiB L3 Cache 9140N 1 60 GHz 2 9 MiB L3 Cache 9140M 1 66 GHz 2 9 MiB L3 Cache 9150N 1 60 GHz 2 12 MiB L3 Cache 9150M 1 66 GHz 2 12 MiB L3 Cache Tukwila 9300er Serie Bearbeiten Offizielle Vorstellung am 10 Februar 2010Vierkernprozessor Quad Core 8 Threads Doppelkernprozessor Dual Core nur Modell 9310 18 L1 Cache 16 16 KiB Daten Instruktionen pro Core L2 Cache 256 512 KiB Daten Instruktionen pro Core L3 Cache bis 6 MiB pro Core max 30 MiB Cache mit Prozessortakt Grosse siehe Modellnummern IVT SoEMT QPI integriert DDR3 Memory Controller max Speicherdurchsatz Nutzdaten pro Core 34 GB s Leistungsaufnahme TDP 130 bis 185 W Erstes Erscheinungsdatum 10 Februar 2010 19 Fertigungstechnik 65 nm Die Grosse 699 mm bei 2 046 Milliarden Transistoren Taktraten 1 33 bis 1 73 GHz 1 86 GHz mit Turbo Boost Modellnummern 9310 1 60 GHz kein Turbo Boost Dual Core 2 5 MiB L3 Cache 130 W TDP 9320 1 33 GHz 1 46 GHz mit Turbo Boost Quad Core 4 4 MiB L3 Cache 155 W TDP 9330 1 46 GHz 1 60 GHz mit Turbo Boost Quad Core 4 5 MiB L3 Cache 155 W TDP 9340 1 60 GHz 1 73 GHz mit Turbo Boost Quad Core 4 5 MiB L3 Cache 185 W TDP 9350 1 73 GHz 1 86 GHz mit Turbo Boost Quad Core 4 6 MiB L3 Cache 185 W TDP Poulson 9500er Serie Bearbeiten Erscheinungsdatum 8 November 2012Im Vergleich zum Vorganger spricht Intel von bis zu 2 4 mal mehr Leistung bei 40 mehr Takt und 33 hoherer Speicherbandbreite 20 L1 Cache 16 16 KiB Daten Instruktionen pro Core L2 Cache 256 512 KiB Daten Instruktionen pro Core L3 Cache bis 32 MiB mittels Ringbus fur alle Kerne mit Prozessortakt Grosse siehe Modellnummern IVT SoEMT QPI integriert DDR3 Memory Controller max Speicherdurchsatz Nutzdaten pro Core 45 GB s Leistungsaufnahme TDP 130 bis 170 W Fertigungstechnik 32 nm Die Grosse 544 mm bei 3 1 Milliarden Transistoren Taktraten 1 73 bis 2 53 GHz Modellnummern 9520 1 73 GHz Quad Core 20 MiB L3 Cache 130 W TDP 9540 2 13 GHz Octo Core 24 MiB L3 Cache 170 W TDP 9550 2 40 GHz Quad Core 32 MiB L3 Cache 170 W TDP 9560 2 53 GHz Octo Core 32 MiB L3 Cache 170 W TDP Kittson 9700er Serie Bearbeiten Erstes Erscheinungsdatum 11 Mai 2017Letzte Generation von Itanium Prozessoren mit leicht erhohtem Takt 21 L1 Cache 16 16 KiB Daten Instruktionen pro Core L2 Cache 256 512 KiB Daten Instruktionen pro Core L3 Cache bis 32 MiB mittels Ringbus fur alle Kerne mit Prozessortakt Grosse siehe Modellnummern IVT SoEMT QPI integriert DDR3 Memory Controller max Speicherdurchsatz Nutzdaten pro Core 45 GB s Leistungsaufnahme TDP 130 bis 170 W Fertigungstechnik 32 nm Die Grosse 544 mm bei 3 1 Milliarden Transistoren Taktraten 1 73 bis 2 66 GHz Modellnummern 9720 1 73 GHz Quad Core 20 MiB L3 Cache 130 W TDP 9740 2 13 GHz Octo Core 24 MiB L3 Cache 170 W TDP 9750 2 53 GHz Quad Core 32 MiB L3 Cache 170 W TDP 9760 2 66 GHz Octo Core 32 MiB L3 Cache 170 W TDP Siehe auch BearbeitenItanium Architektur Liste der Mikroprozessoren von Intel Extensible Firmware InterfaceWeblinks Bearbeiten nbsp Commons Itanium 2 Sammlung von Bildern Videos und Audiodateien Grundlagen zu Intels Itanium Teil I und Grundlagen zu Intels Itanium Teil II Server CPUs von 2005 bis 2007Einzelnachweise Bearbeiten Archivierte Kopie Memento des Originals vom 4 Marz 2016 im Internet Archive nbsp Info Der Archivlink wurde automatisch eingesetzt und noch nicht gepruft Bitte prufe Original und Archivlink gemass Anleitung und entferne dann diesen Hinweis 1 2 Vorlage Webachiv IABot h71000 www7 hp com 1 Tukwila is Here What s Next vom 8 Februar 2010 a b c Intel Fact Sheet Intel Itanium Update Briefing and Disclosures vom 14 Juni 2007 abgerufen am 20 Juli 2009 TecChannel Intel Tukwila Quad Core Itanium mit QuickPath vom 7 Februar 2008 abgerufen am 20 Juli 2009 TecChannel Intel Tukwila Quad Core Itanium mit 130 und 170 Watt Memento des Originals vom 14 Januar 2009 im Internet Archive nbsp Info Der Archivlink wurde automatisch eingesetzt und noch nicht gepruft Bitte prufe Original und Archivlink gemass Anleitung und entferne dann diesen Hinweis 1 2 Vorlage Webachiv IABot www tecchannel de vom 8 April 2008 abgerufen am 20 Juli 2009 heise online Unisys halt Grabrede fur Itanium vom 19 Februar 2009 abgerufen am 20 Juli 2009 2 18 Dezember 2009 Heise Open Red Hat beendet Entwicklung fur Itanium Windows Server 2008 R2 to Phase Out Itanium Memento des Originals vom 28 April 2010 im Internet Archive nbsp Info Der Archivlink wurde automatisch eingesetzt und noch nicht gepruft Bitte prufe Original und Archivlink gemass Anleitung und entferne dann diesen Hinweis 1 2 Vorlage Webachiv IABot blogs technet com vom 2 April 2010 engl Blog der Windows Server Division Oracle announced it has decided to discontinue all software development on the Intel Itanium microprocessor vom 22 Marz 2011 engl HP gewinnt gegen Oracle vom 1 August 2012 de Volker Risska Itanium 9700 Kittson beendet mit vier Modellen Intels Itanium Serie In ComputerBase computerbase de abgerufen am 12 Mai 2017 Intel Change Notification 116733 00 Intel Produktabkundigung veroffentlicht von theregister com engl Adrian Offerman The Processor Portal HP Itanium 2 mx2 processor module Hondo In The Chiplist Abgerufen am 12 Februar 2017 englisch Intel Press Room Itanium 2 Intel Product Brief Itanium Processor 9100 Series Heise online Heimlichtuer Itanium Intel Itanium Processor 9300 Serie PDF 508 kB Intel feiert den Itanium Tukwila Jeff Burt Intel Intros New Itanium Processor HP Unveils New Integrity Servers In eWeek 8 November 2012 abgerufen am 23 Dezember 2017 englisch Marc Sauter Intels letzte Itanium Generation ist da In Golem 11 Mai 2017 abgerufen am 23 Dezember 2017 nbsp Intel ProzessorenListe aller Prozessoren ab 1970 alle Modellnummern von 2004 bis 2009 Vor x86 Prozessoren Desktop 4004 4040 8008 8080 8085iAPX 86 bis zur 4 Generation Desktop 8086 8088 80186 80188 80286 80386 i386 80486 i486 Overdrive VersionenPentium Serie Desktop Pentium MMX Pentium II Pentium III Pentium 4 Pentium 4 XE Pentium D Pentium XE Pentium Dual Core Overdrive VersionenMobil Mobile Pentium 4 Pentium M Pentium Dual CoreServer Pentium ProCeleron Serie Desktop Celeron P6 Celeron NetBurst Celeron D Celeron Core Celeron Dual CoreMobil Mobile Celeron Celeron MCore Serie Desktop Core Core Solo Core Duo Core 2 Core i Core MXeon Serie ii Server Xeon P6 Xeon NetBurst Xeon Core Xeon Nehalem Xeon Sandy Bridge Xeon Ivy Bridge Xeon Haswell Xeon Broadwell Xeon Skylake Xeon Kaby Lake Xeon Coffee Lake Xeon Cascade Lake Xeon Ice Lake Xeon Sapphire Rapids Atom Serie Desktop Atomx86 kompatible SoCs Desktop Quark EdisonNicht x86 Prozessoren Desktop iAPX 432 i860 i960 Itanium Itanium 2 XScaleWeitere Listen Celeron Pentium Core 2 Core iIntel Mikroarchitekturen x86 Mikroarchitekturen 8086 80186 80286 80386 80486 P5 P6 NetBurst Core Solo Core Duo Core 2 Nehalem Westmere Sandy Ivy Bridge Haswell Broadwell Skylake Kaby Lake Coffee Lake Whiskey Lake Cannon Lake Cascade Lake Ice Lake Comet Lake Tiger Lake Rocket Lake Alder Lake Raptor Lake Meteor Lake AtomNon x86 Mikroarchitekturen Mikrocontroller MCS 48 MCS 51 MCS 96 XScale Server Itanium Itanium 2GPU Mikroarchitekturen Larrabee Intel HD Graphics Alchemist Abgerufen von https de wikipedia org w index php title Intel Itanium 2 amp oldid 235842163