www.wikidata.de-de.nina.az
Very High Speed Integrated Circuit Hardware Description Language auch VHSIC Hardware Description Language kurz VHDL ist eine Hardwarebeschreibungssprache mit der es moglich ist digitale Systeme textbasiert zu beschreiben VHDL ist seit 1987 als IEEE Standard 1 festgelegt und es gibt inzwischen einige ebenfalls standardisierte Spracherweiterungen Daruber hinaus gibt es Sprachderivate wie zum Beispiel VHDL AMS mit deren Hilfe auch analoge oder Mixed Signal Systeme beschrieben werden konnen VHDL ist als Beschreibungssprache keine Programmiersprache da sie jedoch Objekte beschreibt deren Aufgabe meist die Informationsverarbeitung ist kann uber deren Simulation dennoch Datenverarbeitung stattfinden indem fur diesen Simulationslauf mitgegebene Eingangsdaten von der simulierten Hardware zu Ergebnisdaten verarbeitet werden Durch diesen Umweg kann VHDL in Kombination mit einem Simulator wie eine Programmiersprache Turing vollstandige Datenverarbeitung beschreiben Durch fortschrittliche Schaltungsgeneratoren ist es mitunter sogar moglich anstatt des Hardwareaufbaus fur einen Algorithmus nur den Algorithmus selbst anzugeben die dazugehorige Schaltung wird vollautomatisch erzeugt Dies nahert VHDL einer Programmiersprache weiter an Inhaltsverzeichnis 1 Geschichte 2 Funktionsweise 2 1 Synthesefahiger und funktionaler VHDL Code 3 Simulation und Verifikation von VHDL Code 4 Skelett eines VHDL Bausteines 4 1 Programmierstile 5 Unterschiede und Gemeinsamkeiten zu klassischen Programmiersprachen 6 VHDL fur analoge Schaltungen VHDL AMS 7 VHDL Editoren 8 VHDL Simulatoren 9 Siehe auch 10 Literatur 11 Weblinks 12 EinzelnachweiseGeschichte BearbeitenVHDL entstand im Rahmen der VHSIC Initiative die von der US amerikanischen Regierung 1980 aufgesetzt wurde um einen gegenuber der Privatwirtschaft entstandenen Technologieruckstand bei der Entwicklung von Very High Speed Integrated Circuits aufzuholen 2 Die Sprachdefinition war das Produkt von Normierungsbestrebungen eines Komitees in dem die meisten grosseren CAD Anbieter und CAD Nutzer aber auch Vereinigungen wie die IEEE vertreten waren Als grosser nordamerikanischer Auftraggeber hat dann das US Verteidigungsministerium engl Department of Defense VHDL zum Durchbruch verholfen Es forderte dass alle in ihrem Auftrag nach dem 30 September 1988 entwickelten ASICs in VHDL dokumentiert werden mussten Es wurde aber nur gefordert dass wirklichkeitsgetreue Verhaltensbeschreibungen in VHDL entstehen mussten Die Implementierungssprache sei es VHDL VerilogHDL oder die bis dahin ubliche grafische Eingabe blieb fur die Auftragnehmer offen Ziel war es mindestens die Schaltungs Dokumentation zu vereinheitlichen und die Simulation komplexer digitaler Systembeschreibungen aus verschiedensten Quellen zu ermoglichen Die erste kommerzielle Version wurde 1985 veroffentlicht Sie entstand aus einer Zusammenarbeit der Unternehmen IBM Texas Instruments und Intermetrics VHDL ist durch den IEEE 1076 Standard von 1993 genormt Gegenuber dem ersten Standard von 1987 IEEE 1076 1987 3 wurde die Sprache erganzt aber auch einige Konstrukte der alten Syntax entfernt und in wenigen Einzelfallen die Semantik von Konstrukten verandert Eine Erweiterung der Beschreibung auf den analogen Bereich elektrischer Systeme wurde mit AHDL erzielt Derzeit gibt es Bestrebungen eine allgemeine Sprache zur Beschreibung technischer Systeme zu schaffen siehe VHDL AMS VHDL analog mixed signal Diese soll den Rahmen der rein elektronischen Schaltungen verlassen und zusatzlich mechanische Elemente Sensoren und Aktoren modellieren um auch diese mit in einer Systemsimulation erfassen zu konnen Aktuelle EDA Tools unterstutzen derzeit die Version VHDL 2002 und VHDL 2008 Funktionsweise BearbeitenBei VHDL arbeitet man nicht mit einzelnen elektronischen Bauteilen sondern beschreibt das gewunschte Verhalten einer Schaltung auf einer hoheren Abstraktionsebene VHDL ermoglicht das schnelle Entwickeln grosser und komplexer Schaltungen z B Mikroprozessor mit uber 20 Mio Transistoren die hohe Effizienz erfordern zeitlich wie okonomisch und unterstutzt den Entwickler bei allen Arbeiten So kann ein System simuliert synthetisiert und schliesslich eine Netzliste erstellt werden Eine Verifikation ist sowohl durch vollstandige Simulation als auch formal moglich Aus der Netzliste konnen Masken fur die Herstellung von MPGAs mask programmable gate array oder ahnlichen LSI Large scale integration Chips produziert werden oder sie kann nach Konvertierung in einen geeigneten Bitstream direkt in ein FPGA Field Programmable Gate Array oder CPLD Complex Programmable Logic Device geladen werden Neben VHDL existieren Verilog und ABEL Die weltweit meist genutzten Hardwarebeschreibungssprachen sind VHDL und Verilog VHDL hat sich zum Quasistandard in Europa entwickelt in den USA ist dagegen Verilog die meist verwendete Sprache Synthesefahiger und funktionaler VHDL Code Bearbeiten Es ist notwendig zwischen synthesefahigem und funktionalem Code zu unterscheiden weil es Konstrukte gibt die sich zwar simulieren lassen aber nicht in reale Hardware und damit in eine Netzliste ubersetzt werden konnen Was aus dem breiten Spektrum an funktionalem VHDL Code tatsachlich synthesefahiger VHDL Code ist bestimmt primar das zur VHDL Synthese gewahlte Ubersetzungsprogramm Synthesetool Funktionaler nicht synthesefahiger Code wird vor allem im Bereich der Schaltungssimulation und zur Erstellung sogenannter Testbenches eingesetzt teilweise auch um neue Verfahren wie beispielsweise das Verhalten von Schnittstellenprotokollen vorab zu prufen Synthesefahigen VHDL Code herzustellen ist im Regelfall aufwandiger und der Entwickler muss dabei auf grosse Teile der Sprachmoglichkeiten von VHDL bewusst verzichten und die Zielhardware und deren genauen Eigenschaften naher kennen So ist beispielsweise VHDL Code zur Ein und Ausgabe uber das Betriebssystem textio wie dem Schreiben und Lesen von Dateien oder auch die Ausgabe von Texten auf den Bildschirm nicht synthesefahig Codebeispiele Beispiel D Flipflop behavioural nicht synthetisierbar ENTITY DFlipflop IS PORT D Clk IN Bit Q OUT Bit END DFlipflop ARCHITECTURE Behav OF DFlipflop IS CONSTANT T Clk Q time 4 23 ns BEGIN PROCESS BEGIN WAIT UNTIL Clk EVENT AND Clk Last Value 0 AND Clk 1 Q lt D AFTER T Clk Q END PROCESS END Behav Beispiel D Flipflop behavioural synthetisierbar aber AFTER T Clk Q wird ignoriert ENTITY DFlipflop IS PORT D Clk IN Bit Q OUT Bit END DFlipflop ARCHITECTURE Behav OF DFlipflop IS CONSTANT T Clk Q time 4 23 ns BEGIN PROCESS BEGIN WAIT UNTIL Clk EVENT AND Clk 1 Q lt D AFTER T Clk Q END PROCESS END Behav Beispiel D Flipflop behavioural synthetisierbar asynchroner Reset ENTITY DFlipflop IS PORT D Clk nResetAsync IN Bit Q OUT Bit END DFlipflop ARCHITECTURE Behav OF DFlipflop IS BEGIN PROCESS Clk nResetAsync BEGIN IF nResetAsync 0 THEN Q lt 0 ELSIF Clk EVENT AND Clk 1 THEN Q lt D END IF END PROCESS END Behav Beispiel D Flipflop behavioural synthetisierbar synchroner Reset ENTITY DFlipflop IS PORT D Clk nResetSync IN Bit Q OUT Bit END DFlipflop ARCHITECTURE Behav OF DFlipflop IS BEGIN PROCESS Clk BEGIN IF Clk EVENT AND Clk 1 THEN IF nResetSync 0 THEN Q lt 0 ELSE Q lt D END IF END IF END PROCESS END Behav Beispiel Andere Architektur Herstellerbibliothek LIBRARY VendorLib ARCHITECTURE Vendor OF DFlipflop IS COMPONENT Dff PORT D Clk IN Bit Qout OUT Bit END COMPONENT BEGIN Ff1 Dff PORT MAP D gt D Clk gt Clk Qout gt Q FOR ALL Dff USE ENTITY VendorLib Component Dff END Vendor Simulation und Verifikation von VHDL Code BearbeitenMittlerweile hat sich VHDL als Standard fur die Simulationsmodelle von Intellectual Property IP durchgesetzt In einem Simulationsmodell wird der eigentlich zu testende und synthesefahige VHDL Code bzw VHDL Modul eingebettet und die Hardware darum in einem sogenannten Test Bench moglichst getreu nachgebildet Das Simulationsmodell wird dabei meistens in nicht synthetisierbarem VHDL verfasst was das Modellieren des Zeitverhaltens oder bestimmter physikalischer Parameter der externen Schaltungsteile erlaubt Ein Beispiel soll diesen Vorgang erlautern Bei der Erstellung eines SDRAM Controllers einer Schaltung zum Ansteuern von SDRAM Speichermodulen wird das SDRAM mit seinem Speicher und sein zeitliches Verhalten im Simulationsmodell moglichst genau wie die reale SDRAM Hardware nachgebildet Damit kann der Controller in seiner logischen Funktion simuliert und auch das Verhalten in den zeitlichen Extrembedingungen verifiziert werden ohne dass dafur reale Hardware notwendig ware Bei Bedarf wird der SDRAM Controller funktionell entsprechend nachgebessert um die Simulationsrandbedingungen zu erfullen Erst wenn diese Simulation erfolgreich war wird der so erstellte SDRAM Controller auf Hardware beispielsweise in einem FPGA in Kombination mit echten SDRAM Bausteinen in Betrieb genommen Damit ist der Entwicklungsprozess von IP Cores weitgehend unabhangig von konkreter Hardware Die Erstellung von guten Testbenches die entsprechende Aussagen zulassen ist dabei eine meist unterschatzte Aufgabenstellung die ca 50 der gesamten Entwicklungszeit fur IP Cores ausmacht Sie ist aber neben systematischem Vorgehen in der Entwicklung wesentlich effizienter als fruhzeitig mit meist nicht auf Anhieb funktionierenden Schaltungsteilen auf reale Hardware zu gehen die dann nur schwer und umstandlich in allen ihren Parametern verifiziert werden konnen Weiter unterscheidet man bei der Simulation unterschiedliche Simulationsarten Eine reine Verhaltenssimulation engl behavioral simulation des zu implementierenden IP Core Dabei werden die funktionellen Zusammenhange in der Schaltung grundsatzlich gepruft Beispielsweise ob logische Verknupfungen einzelner Signale passen Der Vorteil besteht im geringen Rechenaufwand womit zeitlich langere Abschnitte simuliert werden konnen Eine Simulation des fertig platzierten IP Core engl post fit simulation Dabei wird der IP Core zunachst synthetisiert geroutet und platziert dann werden aus der fertigen Schaltungsanordnung die Netzliste und die zugehorigen Laufzeitinformationen der Zielhardware ermittelt Die Anwendung der Laufzeitparameter auf den VHDL Code engl back annotation wird entweder von entsprechenden Werkzeugen ubernommen die daraus nicht synthetisierbaren VHDL Code erzeugen oder sie geschieht unmittelbar im Simulationsprogramm Der Vorteil besteht in dem genaueren Modell um beispielsweise Zeitablaufprobleme in der Zielhardware bereits in der Simulation erkennen zu konnen Nachteilig sind der damit verbundene hohe Rechenaufwand und die auch auf schnellen Rechnern sehr langen Simulationszeiten die sich je nach Komplexitat der Schaltung im Bereich von einigen Tagen bewegen konnen Weiter kann unterschieden werden zwischen Testbenches mit eigener Fehlererkennung und Testbenches die nur den reinen Zeitverlauf darstellen Im ersten Fall werden im Testbench die zu bestehenden Prufungen aufgrund von fixen Entscheidungen Prufvektoren festgelegt und automatisch durch entsprechende Textausgaben festgestellt Die Erstellung solcher Testbenches ist aufwendiger aber bietet den Vorteil bei spateren Anderungen leichter die Veranderungen der Implementierung vollstandig und sicher prufen zu konnen engl regression test Im zweiten Fall wird die zu simulierende Schaltung in ihrem Zeitverhalten engl wave diagram nur dargestellt ohne dass eine automatische Bewertung der Ausgabe durchgefuhrt wird Die Entscheidung ob das Verhalten der Schaltung korrekt ist obliegt der Person die dieses Zeitverhalten manuell uberprufen muss Der Vorteil dieser Methode ist die Einfachheit bei der Erstellung der Testbenches weshalb sie vor allem bei einfachen Schaltungen angewendet wird Ein Nachteil ist dass dabei Fehler in der Implementierung durch die manuelle Prufung leicht ubersehen werden konnen vor allem bei komplexen Schaltungen Ein anderer Nachteil ist dass eine manuelle Prufung nach jeder Anderung des VHDL Codes erneut von Hand durchgefuhrt werden muss Skelett eines VHDL Bausteines BearbeitenENTITY Bausteinname IS GENERIC Parameterliste optional dieses Statement erlaubt die Ubergabe modellabhangiger Parameter z B Verzogerungszeiten an einem Baustein PORT Schnittstellenliste optional hier werden die Signalschnittstellen des Bausteins nach aussen definiert folgende Modi sind moglich IN OUT INOUT BUFFER an dieser Stelle konnen diverse Deklarationen vorgenommen werden die in verschiedenen Architekturrumpfen benutzt werden konnen das sind unter anderem Unterprogramme Typendeklarationen Konstantendeklarationen und Signaldeklarationen BEGIN Anweisungen optional hier konnen Anweisungen stehen die fur alle Architekturrumpfe durchzufuhren sind das verkurzt die Architekturbeschreibung denn in Bausteindeklarationen aufgefuhrte Anweisungen mussen nicht mehr in den Architekturrumpfen stehen END Bausteinname Ende der Bausteindeklaration Architekturrumpf ARCHITECTURE Rumpfname OF Bausteinname IS an dieser Stelle konnen optional diverse Deklarationen fur Typen Konstanten und Signale vorgenommen werden im Gegensatz zu den Bausteindeklarationen sind diese hier nur fur den vorliegenden Architekturrumpf gultig BEGIN Anweisungen die das Verhalten oder die Struktur des Bausteins beschreiben END Rumpfname Programmierstile Bearbeiten Die im Architekturrumpf verwendeten Programmierungsstile lassen sich im Bereich von synthetisierbaren VHDL auf einige wenige grundlegende Formen reduzieren Manchmal ist die Verwendung bestimmter VHDL Stile im Rahmen bestimmter Entwicklungsprojekte auch vorgegeben Der vor allem bei Hardware Entwicklern und Anfangern verbreitete Dataflow Stil Charakteristisch ist dass pro Architecture eine Vielzahl parallel ablaufender und meist im Umfang kleiner und synchroner Prozesse und nebenlaufige Anweisungen verwendet werden die uber Signale miteinander verbunden sind Mit den Prozessen werden einzelne meist elementare Hardwarestrukturelemente direkt in VHDL abgebildet und die Signale dienen sowohl zur Zustandsspeicherung innerhalb der einzelnen Prozesse als auch zur Informationsubermittlung zwischen diesen Prozessen Wahrend bei kleinen Architectures dieser Stil durchaus noch beherrschbar ist wird die Unubersichtlichkeit des Programmcodes bei grosseren Modellen zum Nachteil Durch die Parallelitat der einzelnen Prozesse und deren Interaktionen kann das Verhalten der gesamten Schaltung nur schwer nachvollzogen werden Die so genannte 2 Prozessmethode 4 Bei diesem vor allem im synchronen FPGA Design vorteilhaften VHDL Stil besteht die Architecture nur noch aus zwei Prozessen Einem meist sehr komplexen rein kombinatorischen Prozess der den kompletten Algorithmus und das Verfahren beinhaltet und einem sehr simplen getakteten Prozess der alle Register Zustandsspeicher beinhaltet Durch das Zusammenfassen von einzelnen Signalen in records lassen sich damit auch komplexe Algorithmen ubersichtlich beschreiben Durch das Abbilden des Verfahrens in nur einem kombinatorischen Prozess lassen sich die beim dataflow Stil nur schwer nachvollziehbaren Nebenlaufigkeiten mehrerer Prozesse vermeiden und ahnlich wie bei einer Programmiersprache mit Variablen mit einem sequentiellen Ablauf beschreiben Auch das Debuggen des VHDL Codes kann aufgrund der sequentiellen Abarbeitung innerhalb des einen kombinatorischen Prozesses mit ahnlichen Methoden wie in der Softwareentwicklung erfolgen Unterschiede und Gemeinsamkeiten zu klassischen Programmiersprachen BearbeitenIn einer Hardwarebeschreibungssprache wird der Aufbau einer physikalischen Schaltung modellhaft beschrieben Es werden keine Algorithmen beschrieben sondern Hardware die einen Algorithmus berechnen kann Erst in der Bauteilsimulation mit angelegten Eingangsdaten signalen findet eine simulierte Datenverarbeitung statt die mit der Ausfuhrung eines Programms einer klassischen Programmiersprache vergleichbar ist In den meisten Programmiersprachen sind parallele Ablaufe beschreibbar analog kann VHDL Hardwaremodule beschreiben die gleichzeitig existent sind und simultan arbeiten In VHDL unterscheidet man Entitaten entity die Vorlagen fur Schaltungsblocke sowie deren Ein und Ausgange beschreiben und Komponenten component die Instanzen dieser Vorlagen reprasentieren vgl Klasse und Objekt in objektorientierten Programmiersprachen und Prozesse process die parallele Anweisungen zusammenfassen Daten konnen in VHDL verschiedene Typen besitzen die oft reale Schaltungszustande nachbilden aber auch Rechengrossen wie Integer Zur Verarbeitung gibt es Signale Variablen und Konstanten Signale werden als Ein und Ausgange und auch zur Datenubertragung zwischen nebenlaufigen Prozessen in derselben Komponente verwendet In einer getakteten Schaltung dient ein Signal uberdies zur Speicherung von Werten Hierbei wird es im Fall einer Synthese als Register modelliert Variablen werden innerhalb von Prozessen verwendet und dienen dort zur ubersichtlichen Gliederung und Vereinfachung des Codes Wird in einem getakteten Prozess eine Variable sequentiell gesehen gelesen bevor sie geschrieben wird so wird bei der Synthese implizit ein Register erstellt Konstanten stellen physikalisch eine harte Verdrahtung dar in der Simulation sind sie synonym mit Konstanten herkommlicher Programmiersprachen Der funktionale Unterschied zwischen Signalen und Variablen besteht des Weiteren darin dass Signale ihren neuen Zustand erst am Ende eines sequentiellen Prozesses annehmen wahrend Variablen ein Verhalten ahnlich wie bei Programmiersprachen zeigen und Zuweisungen unmittelbar wirken Dieser Umstand ist vor allem fur Anfanger meist verwirrend wird jedoch klar ersichtlich sobald verinnerlicht wurde dass ein Prozess keine sequentielle Abfolge von Befehlen darstellt sondern alles gleichzeitig geschieht VHDL fur analoge Schaltungen VHDL AMS BearbeitenSeit 1999 laufen im Rahmen der IEEE verschiedene Bestrebungen VHDL auch fur die Simulation und kunftig auch Synthese von analogen und gemischt analog digitalen Schaltungen einzusetzen Die Bezeichnung fur diese erweiterte Sprache ist VHDL AMS und sie ist im Standard IEEE 1076 1 1999 definiert Im Rahmen von VHDL AMS konnen beispielsweise analoge Temperatursensoren mit ihren elektrischen Signalverhalten als Funktion der Temperatur an den Anschlussklemmen modelliert werden Ebenso besteht die Moglichkeit in VHDL AMS Differentialgleichungen zur Beschreibung von elektrischen Netzwerkelementen wie Spulen oder Kondensatoren zu modellieren Bis 2006 waren noch keine Synthesetools fur Schaltungen erhaltlich die in VHDL AMS beschrieben sind Lediglich fur die Schaltungssimulation waren bereits verschiedene kommerzielle Softwarepakete wie Saber von Synopsys AdvanceMS von Mentor Graphics oder SMASH von Dolphin Integration am Markt verfugbar Diese Simulationsprogramme sind erganzend zu etablierten analogen Schaltungssimulationsprogrammen der SPICE Klasse Eldo Spectre hSpice etc zu sehen Sie ermoglichen die gemeinsame Simulation von Verhaltensmodellen zusammen mit Schaltungen auf Bauelementebene Spice Das ist eine Grundvoraussetzung fur die Top Down Methode im Schaltungsentwurf Da VHDL AMS eine Obermenge von VHDL darstellt ist die Simulation zusammen mit rein digitalen Schaltungsteilen in VHDL ebenso moglich VHDL Editoren BearbeitenHDL Designer ist ein kommerzielles grafisches Eingabewerkzeug fur VHDL und Verilog Crimson Editor fur Windows DataFlow grafische Eingabe unter UNIX zamiaCAD Open Source Plugin fur Eclipse Signs Plugin fur Eclipse Sigasi Eclipse basierter Editor fur VHDL und Verilog unter Windows x86 x64 Linux x86 x64 Mac VHDPlus Kostenfreie IDE fur VHDL Verilog und VHDP 5 Weiterhin gibt es fur viele gangige Texteditoren Zusatzpakete fur die VHDL Unterstutzung beispielsweise fur vi Notepad und Emacs 6 Ebenso ist in gangigen VHDL Simulatoren ein eigener VHDL Editor mit Texthighlighting und ahnlichem vorhanden Dieser ermoglicht nicht nur die Eingabe des Codes sondern hilft durch seine Integration auch bei der Fehlersuche da beispielsweise Codestellen vom Compiler Simulator aus Fehlermeldungen heraus angesprungen werden konnen und Breakpoints im Quelltext umsetzbar sind VHDL Simulatoren BearbeitenModelSim von Mentor Graphics fur Windows Linux und Unix ActiveHDL von Aldec fur Windows RivieraPro von Aldec fur Windows und Linux Portunus von Adapted Solutions fur Windows SimPlorer von Ansoft fur Windows SMASH von Dolphin Integration fur Windows Linux und Unix ISE Simulator ISim von Xilinx auch in kostenlosem ISE WebPACK fur Windows und Linux Quartus II von Altera auch kostenlose Web Edition fur Windows und Linux GHDL 7 Open Source fur Windows Linux FreeBSD und Apple OS X SystemVision von Mentor Graphics fur Windows NCSim von Cadence Design Systems fur SunOS und LinuxSiehe auch BearbeitenPSL Property Specification Language BSDL Boundary Scan Description Language Literatur BearbeitenJurgen Reichardt Bernd Schwarz VHDL Synthese Entwurf digitaler Schaltungen und Systeme 7 Auflage De Gruyter Oldenbourg Berlin 2015 ISBN 978 3 11 037505 3 Paul Molitor Jorg Ritter VHDL Eine Einfuhrung Pearson Studium Munchen 2004 ISBN 3 8273 7047 7 Gunther Lehmann Bernhard Wunder Manfred Selz Schaltungsdesign mit VHDL Franzis Verlag Poing 1994 ISBN 3 7723 6163 3 kostenloser Download Memento vom 11 Juni 2010 im Internet Archive Peter J Ashenden The Designer s Guide to VHDL Morgan Kaufmann Publishers San Francisco 2002 ISBN 1 55860 674 2 Peter J Ashenden VHDL 2008 Just the new stuff Systems on Silicon Morgan Kaufmann Publishers San Francisco 2008 ISBN 978 0 12 374249 0 Yannick Herve VHDL AMS Oldenbourg Munchen 2006 ISBN 3 486 57787 5 VASCO RTL VHDL Application on Synthesis MABEX Multimedia Limited Zielona Gora 1999 ISBN 83 907288 9 3 Frank Kesel Ruben Bartholoma Entwurf von digitalen Schaltungen und Systemen mit HDLs und FPGAs Einfuhrung mit VHDL und SystemC Oldenbourg Munchen 2006 ISBN 3 486 57556 2 Weblinks Bearbeiten nbsp Wikibooks VHDL Tutorium Lern und Lehrmaterialien nbsp Commons VHDL Sammlung von Bildern Videos und Audiodateien The Hamburg VHDL Archive englisch und deutsch Andreas Mader VHDL Kompakt VHDL Einfuhrung deutsch PDF Datei 444 kB Einzelnachweise Bearbeiten IEEE Standard for VHDL Language Reference Manual In IEEE Std 1076 2019 Dezember 2019 S 1 673 doi 10 1109 IEEESTD 2019 8938196 ieee org abgerufen am 8 Juli 2023 VHSIC Program Office Very High Speed Integrated Circuits VHSIC Final Program Report 1980 1990 vom 30 September 1990 Website des Defense Technical Information Centers Abgerufen am 15 Januar 2011 IEEE Standard VHDL Language Reference Manual In IEEE Std 1076 1987 Marz 1988 S 1 218 doi 10 1109 IEEESTD 1988 122645 ieee org abgerufen am 8 Juli 2023 2 Prozess Methode engl PDF 33 kB www vhdplus com Offizielle Seite von VHDPlus Emacs VHDL Mode Entwicklerseite an der ETH Zurich GHDL Internetseite http ghdl free fr Programmierbare LogikKonzepte ASIC SoC FPGA CLB CPLD EPLD PLA PAL GAL PSoC Reconfigurable Computing Xputer Soft microprocessor Circuit underutilization High level synthesis HardwarebeschleunigungProgrammiertechnik Masken programmiert Antifuse EPROM E PROM Flash SRAMProgrammiersprachen Verilog A AMS VHDL AMS VITAL SystemVerilog DPI SystemC AHDL Handel C PSL UPF PALASM ABEL CUPL OpenVera C to HDL Flow to HDL MyHDL JHDL ELLAHersteller Accellera Actel Achronix AMD Aldec Atmel Cadence Cypress Duolog Forte Intel Altera Lattice National Mentor Graphics Microsemi Signetics Synopsys Magma Virage Logic Texas Instruments Tabula XilinxProdukte Hardware iCE Stratix Cyclone Arria Max Kintex Zynq VirtexSoftware Intel Quartus Prime Xilinx ISE Xilinx Vivado ModelSim VTRIP Proprietar ARC ARM Cortex M PowerPC LEON LatticeMico8 MicroBlaze PicoBlaze Nios Nios IIOpen Source JOP LatticeMico32 OpenCores OpenRISC RISC V Zet Abgerufen von https de wikipedia org w index php title Very High Speed Integrated Circuit Hardware Description Language amp oldid 235287894