www.wikidata.de-de.nina.az
SystemVerilog ist eine Hardware Beschreibungs und Verifikationssprache englisch Hardware Description and Verification Language kurz HDVL genannt Sie bietet Moglichkeiten zum Design und zur Verifikation von digitalen Schaltungen SystemVerilog wurde von Accellera entwickelt und ist eine Erweiterung des IEEE 1364 2001 Verilog HDL Dabei arbeitet SystemVerilog aber auf einer hoheren Abstraktionsebene als Verilog 2001 Inhaltsverzeichnis 1 Geschichte 2 Allgemeines zu SystemVerilog 3 Funktionsweise 4 Siehe auch 5 Weblinks 6 EinzelnachweiseGeschichte BearbeitenVerilog 1995 ist schon seit vielen Jahren am Markt und stellt neben VHDL die meistgenutzte Hardwarebeschreibungssprache dar Die IEEE hat die Eigenschaften von Verilog 1995 erweitert diese wurden in Verilog 2001 klassifiziert Dieser Sprachumfang ist aber immer noch ungenugend fur eine leistungsfahige Verifikation der in Register Transfer Level RTL beschriebenen digitalen Hardware Daher haben die Entwickler oft andere Sprachen wie e Vera oder Testbuilder verwendet Da SystemVerilog sowohl eine Hardwarebeschreibungssprache als auch eine Verifikationssprache ist mussen beide Teile der Sprache gesondert betrachtet werden Der Hardwarebeschreibungsaspekt von SystemVerilog ist eine konsistente Weiterentwicklung des Verilog 2001 Standards Anders sieht es beim Verifikationsaspekt von SystemVerilog aus Dieser Sprachteil wurde massgeblich durch Synopsys Vera beeinflusst entsprechend hebt sich dessen objektorientierte Syntax deutlich von Verilog 2001 ab Die Standardisierung von SystemVerilog erfolgte in mehreren Stufen Im Juni 2002 erschien SystemVerilog 3 0 im Mai 2003 die Version 3 1 und schliesslich im April 2004 die Version 3 1a Mit der Entwicklung von SystemVerilog 3 1 sollten die wichtigsten Verifikationstechniken in SystemVerilog integriert werden Seit 2005 wird SystemVerilog als IEEE Standard 1800 gepflegt 2009 wurde der Standard erweitert und derjenige von IEEE 1364 darin absorbiert 1 2013 wurde die nachste eher geringfugige Uberarbeitung unter der Bezeichnung IEEE 1800 2012 veroffentlicht 2 3 Allgemeines zu SystemVerilog BearbeitenEinige der Eigenschaften von SystemVerilog Datentypen analog zu C int typedef struct union enum Dynamische Datentypen struct classes dynamic queues dynamic arrays Neue Operatoren und built in methods Erweiterte Programmsteuerung foreach return break continue Semaphoren Mailboxen und events Klassen fur objektorientiertes Programmieren assertions Code Uberdeckungs Analyse VPI Erweiterungen Verilog Procedural Interface Die IEEE hat SystemVerilog als IEEE Standard 1800 genormt Jeder mit C Erfahrung wird sich mit SystemVerilog schnell vertraut fuhlen Da SystemVerilog aber sehr viele Anleihen an C besitzt wird es jemandem der sich noch nie mit der objektorientierten Programmierung beschaftigt hat schwerfallen SystemVerilog im vollen Umfang zu nutzen SystemVerilog besteht aus drei Teilen dem schon seit langerem bekannten und standardisierten Verilog einem zweiten Teil der das Schreiben von Assertions in SystemVerilog definiert sowie dem objektorientierten Teil der ausschliesslich fur die Verifikation verwendet wird Funktionsweise BearbeitenBeispiel die Verwendung von Zeichenketten und Bildschirmausgabe Dies ist ein SystemVerilog Kommentar string sv SystemVerilog string s s sv ist echt einfach display s n s Bildschirmausgabe SystemVerilog ist echt einfach s s probiert es mal display s n s Bildschirmausgabe SystemVerilog ist echt einfach probiert es mal Siehe auch BearbeitenSystemCWeblinks Bearbeitenhttp www systemverilog org http www asic world com systemverilog http www doulos com knowhow sysverilog http www project veripage com http electrosofts com systemverilog SystemVerilog TutorialEinzelnachweise Bearbeiten P1800 2009 IEEE Standard for SystemVerilog Unified Hardware Design Specification and Verification Language IEEE Piscataway New Jersey 2009 ISBN 978 0 7381 6129 7 1800 2012 IEEE Standard for SystemVerilog Unified Hardware Design Specification and Verification Language Institute of Electrical and Electronics Engineers abgerufen am 24 November 2014 Stuart Sutherland Keeping Up with Chip the Proposed SystemVerilog 2012 Standard Makes Verifying Ever increasing Design Complexity More Efficient PDF 82 kB Programmierbare LogikKonzepte ASIC SoC FPGA CLB CPLD EPLD PLA PAL GAL PSoC Reconfigurable Computing Xputer Soft microprocessor Circuit underutilization High level synthesis HardwarebeschleunigungProgrammiertechnik Masken programmiert Antifuse EPROM E PROM Flash SRAMProgrammiersprachen Verilog A AMS VHDL AMS VITAL SystemVerilog DPI SystemC AHDL Handel C PSL UPF PALASM ABEL CUPL OpenVera C to HDL Flow to HDL MyHDL JHDL ELLAHersteller Accellera Actel Achronix AMD Aldec Atmel Cadence Cypress Duolog Forte Intel Altera Lattice National Mentor Graphics Microsemi Signetics Synopsys Magma Virage Logic Texas Instruments Tabula XilinxProdukte Hardware iCE Stratix Cyclone Arria Max Kintex Zynq VirtexSoftware Intel Quartus Prime Xilinx ISE Xilinx Vivado ModelSim VTRIP Proprietar ARC ARM Cortex M PowerPC LEON LatticeMico8 MicroBlaze PicoBlaze Nios Nios IIOpen Source JOP LatticeMico32 OpenCores OpenRISC RISC V Zet Abgerufen von https de wikipedia org w index php title SystemVerilog amp oldid 195449705