www.wikidata.de-de.nina.az
Die Registertransferebene englisch Register Transfer Level RTL ist eine Abstraktionsebene in der Hardware Modellierung von integrierten Schaltkreisen Beim Entwurf auf dieser Ebene wird das System durch den Signalfluss zwischen den Registern spezifiziert Das RTL wird in Hardwarebeschreibungssprachen wie VHDL und Verilog verwendet um High Level Darstellungen von Schaltkreisen zu erzeugen Von diesen lassen sich Darstellungen auf niedrigeren Ebenen und schliesslich die konkrete Hardware synthetisieren Mit Software Tools lasst sich die RTL Beschreibung in eine Netzliste ubersetzen aus der schliesslich durch Placement und Routing ein physikalisches Layout erzeugt wird Die Synthese die eine logische Schaltung aus einem RTL Modell generiert nennt man RTL Synthese Literatur BearbeitenPeter Marwedel Embedded System Design Springer Dordrecht 2006 S 81 ISBN 0 387 29237 3 Abgerufen von https de wikipedia org w index php title Registertransferebene amp oldid 164764145