www.wikidata.de-de.nina.az
JHDL ist die Abkurzung fur Just Another Hardware Definition Language und ist eine Hardwarebeschreibungssprache deren Entwicklung als Open Source Projekt 1997 an der Brigham Young University begann Die Idee von JHDL ist in Java geschriebene Programme derart in VHDL umzusetzen dass eine damit programmierte FPGA oder miteinander vernetzte FPGAs einen durch die Objekte des Programms beschriebenen Datenfluss zwischen den physikalischen Anschlussen eines elektronischen Bauelements erreicht Das Ziel ist es auch dass in den verfugbaren FPGA Netzwerken Objekte genau so erzeugt werden wie das in normalen Java Programmen ublich ist namlich durch Anweisungen der Form Object reference new ObjectType Parameters Durch einfaches Vergessen der Referenz wird der von ihr genutzte Ressourcenbereich im Fall von JHDL Logikgatter wieder freigegeben Besonders interessant ist JHDL im Zusammenhang mit selbstkonfigurierenden Systemen in denen ein Betriebssystem auf einer Hardware Plattform arbeitet die aus vielen FPGAs besteht und umkonfiguriert werden kann In einem derartigen Netzwerk existieren viele Objekte und eine grosse Anzahl von Threads gleichzeitig was moglicherweise zur Verringerung der Taktfrequenz genutzt werden kann Weblinks BearbeitenHauptseite des JHDL Projektes englisch Programmierbare LogikKonzepte ASIC SoC FPGA CLB CPLD EPLD PLA PAL GAL PSoC Reconfigurable Computing Xputer Soft microprocessor Circuit underutilization High level synthesis HardwarebeschleunigungProgrammiertechnik Masken programmiert Antifuse EPROM E PROM Flash SRAMProgrammiersprachen Verilog A AMS VHDL AMS VITAL SystemVerilog DPI SystemC AHDL Handel C PSL UPF PALASM ABEL CUPL OpenVera C to HDL Flow to HDL MyHDL JHDL ELLAHersteller Accellera Actel Achronix AMD Aldec Atmel Cadence Cypress Duolog Forte Intel Altera Lattice National Mentor Graphics Microsemi Signetics Synopsys Magma Virage Logic Texas Instruments Tabula XilinxProdukte Hardware iCE Stratix Cyclone Arria Max Kintex Zynq VirtexSoftware Intel Quartus Prime Xilinx ISE Xilinx Vivado ModelSim VTRIP Proprietar ARC ARM Cortex M PowerPC LEON LatticeMico8 MicroBlaze PicoBlaze Nios Nios IIOpen Source JOP LatticeMico32 OpenCores OpenRISC RISC V Zet Abgerufen von https de wikipedia org w index php title Just Another Hardware Definition Language amp oldid 209920142