www.wikidata.de-de.nina.az
Dieser Artikel oder nachfolgende Abschnitt ist nicht hinreichend mit Belegen beispielsweise Einzelnachweisen ausgestattet Angaben ohne ausreichenden Beleg konnten demnachst entfernt werden Bitte hilf Wikipedia indem du die Angaben recherchierst und gute Belege einfugst Die Property Specification Language PSL deutsch etwa Beschreibungssprache fur Eigenschaften wurde von Accellera entwickelt um Eigenschaften oder Assertions beim Entwurf von Hardware Designs zu spezifizieren Diese Eigenschaften konnen dann simuliert oder formal verifiziert werden Seit September 2004 wurde die Standardisierung der Sprache durch die IEEE 1850 Arbeitsgruppe vorangetrieben Im September 2005 wurde der IEEE 1850 Standard for Property Specification Language PSL offiziell vorgestellt Die Property Specification Language soll in einer Vielzahl von Hardwarebeschreibungssprachen einsetzbar sein Zum Beispiel VHDL IEEE 1076 Verilog IEEE 1364 SystemVerilog IEEE 1800 SystemC durch die OSCIWeblinks BearbeitenIEEE P1850 PSL Working Group englisch IEEE Announcement September 2005 englisch Accellera Designers guide to PSL englisch Programmierbare LogikKonzepte ASIC SoC FPGA CLB CPLD EPLD PLA PAL GAL PSoC Reconfigurable Computing Xputer Soft microprocessor Circuit underutilization High level synthesis HardwarebeschleunigungProgrammiertechnik Masken programmiert Antifuse EPROM E PROM Flash SRAMProgrammiersprachen Verilog A AMS VHDL AMS VITAL SystemVerilog DPI SystemC AHDL Handel C PSL UPF PALASM ABEL CUPL OpenVera C to HDL Flow to HDL MyHDL JHDL ELLAHersteller Accellera Actel Achronix AMD Aldec Atmel Cadence Cypress Duolog Forte Intel Altera Lattice National Mentor Graphics Microsemi Signetics Synopsys Magma Virage Logic Texas Instruments Tabula XilinxProdukte Hardware iCE Stratix Cyclone Arria Max Kintex Zynq VirtexSoftware Intel Quartus Prime Xilinx ISE Xilinx Vivado ModelSim VTRIP Proprietar ARC ARM Cortex M PowerPC LEON LatticeMico8 MicroBlaze PicoBlaze Nios Nios IIOpen Source JOP LatticeMico32 OpenCores OpenRISC RISC V Zet Abgerufen von https de wikipedia org w index php title Property Specification Language amp oldid 195449788