www.wikidata.de-de.nina.az
Die PDP 11 war ein 1970 eingefuhrter in den 1970er Jahren weit verbreiteter 16 Bit Computer der Digital Equipment Corporation Obwohl nicht explizit als Nachfolger konzipiert loste er bei vielen Anwendungen in der Prozessrechentechnik den vorher dominierenden 12 Bit Computer PDP 8 aus der Programmed Data Processor Reihe ab Hersteller Digital Equipment CorporationTyp ProzessrechnerVeroffentlichung Januar 1970Prozessor DEC 16 bitArbeitsspeicher 4 096 16 BitGrafik keineSound keinerDatentrager Lochstreifen Diskette Datenband FestplatteBetriebssystem diverse darunter BATCH 11 DOS 11 DSM 11 IAS P OS RSTS E RSX 11 RT 11 Ultrix 11Nachfolger VAX 11Das technische Konzept der PDP 11 Serie war einfach gehalten Ein standardisiertes Universelles Bus System Unibus uber das Zentraleinheit Arbeitsspeicher und Ein Ausgabe Gerate miteinander kommunizierten Im Unterschied zu vielen vorherigen Rechnern kannte die PDP 11 keine speziellen Ein Ausgabe Befehle Da Peripheriegerate am Unibus wie Arbeitsspeicher adressiert wurden konnte die Peripherie mit normalen Rechnerbefehlen gesteuert werden Auch bei der Steuerung von Kraftwerken Verkehrswegen und Telefonnetzen wurde die PDP 11 verwendet Das offene Bus System ermoglichte es auch Fremdanbietern kostengunstige und leistungsstarke Peripheriegerate zum Anschluss an die PDP 11 auf den Markt zu bringen Inhaltsverzeichnis 1 Geschichte 1 1 Einfuhrung 1 2 Konkurrenz 1 3 Verwendung noch im 21 Jahrhundert 1 4 PDP 11 Modelle 1 5 Unibus Modelle 1 6 Q Bus Modelle 1 7 Modelle ohne Bussystem 1 8 Weitere Workstations 1 9 Nachbauten aus dem Ostblock 2 Betriebssysteme 3 Befehle 3 1 1 Adress Befehle 3 2 2 Adress Befehle 3 3 Sprunge 3 4 Adressierungsmodi 3 4 1 Allzweckregister R0 bis R5 3 4 2 Stapelzeiger R6 3 4 3 Programmzahler R7 bzw PC 4 Trivia 5 Konkurrenzprodukte 6 Virtualisierung 7 Weblinks 8 EinzelnachweiseGeschichte BearbeitenEinfuhrung Bearbeiten Die PDP 11 wurde im Januar 1970 von der Digital Equipment Corporation DEC eingefuhrt und baute bereits auf Integrierten Schaltkreisen auf 1 Sie kommunizierte uber ein standardisiertes Universelles Bus System Unibus was die Auf und Umrustung fur eine Vielzahl von Prozessanwendungen ermoglichte Deswegen wurde die PDP 11 haufig in den 1970er und 1980er Jahren im experimentellen Wissenschafts und Forschungsbereich eingesetzt und war dort ein Quasi Standard Auch bei der Steuerung von Kraftwerken Verkehrswegen und Telefonnetzen gab es ein weites Anwendungsfeld DEC verkaufte allein in den 1970er Jahren uber 170 000 PDP 11 2 Mit der 1983 eingefuhrten PDP 11 73 wurde der Q Bus eingefuhrt bei dem Multiplexing eingesetzt wurde so dass sich Adress und Datensignale dieselben Leitungen teilen Dies ermoglichte preiswertere Rechnermodelle So war die PDP 11 auch Anfang der 1990er Jahre noch in vielen Forschungslabors vertreten Konkurrenz Bearbeiten Der Professional 325 PRO 325 der Professional 350 PRO 350 und der Professional 380 PRO 380 waren PDP 11 kompatible Mikrocomputer die 1982 von DEC als High End Konkurrenz zum IBM PC eingefuhrt wurden In diesem Bereich konnte sich die PDP 11 jedoch nicht durchsetzen Auch die Verwendung der PDP 11 als Prozessrechner ging u a durch die Konkurrenz durch Intel basierte Personal Computer PCs immer mehr zuruck Die letzten Modellreihen von DEC waren die 1990 eingefuhrten PDP 11 93 und PDP 11 94 Das Ende kam als DEC am 26 Januar 1998 an Compaq verkauft wurde Verwendung noch im 21 Jahrhundert Bearbeiten In der Einrichtung HASYLAB am Deutschen Elektronen Synchrotron in Hamburg diente von 1981 bis zum 20 Oktober 2012 eine PDP 11 23 an der Beamline E1 zur Steuerung von FLIPPER II einer Anlage zur Messung von Photoelektronenspektroskopie mit Synchrotronstrahlung Die PDP 11 wird in Kernkraftwerken von General Electric noch verwendet Das soll bis 2050 so bleiben 3 PDP 11 Modelle Bearbeiten Die PDP 11 Rechner konnen nach dem verwendeten Peripheriebus eingeteilt werden Mit der MicroPDP 11 wurde in den 1980er Jahren ein Tischrechner verwendet 4 Unibus Modelle Bearbeiten nbsp DEC Jaws 11 Chipsatz nbsp DEC Fonz 11 Chipsatz nbsp Prozessorkern Die Foto des DEC J 11 Datenchip 57 19400 09 nbsp Prozessorkern Die Foto des DEC J 11 Controller 57 19400 09 nbsp PDP 11 70Die folgenden Modelle nutzten den ursprunglichen 18 bit breiten Unibus PDP 11 spater PDP 11 20 und PDP 11 15 der originale Rechner von Jim O Loughlin mit 4 K 16 Bit Arbeitsspeicher PDP 11 35 und 11 40 PDP 11 45 11 50 und 11 55 mit deutlich schnellerem Prozessor PDP 11 70 11 45 Architektur mit bis zu 4 MiB Speicher und E A Schnittstellen uber den Massbus PDP 11 05 und 11 10 kostenreduzierte 11 20 PDP 11 34 und 11 04 kostenreduzierte Version nach Konzept von Bob Armstrong PDP 11 44 verbesserte 11 34 mit Cachespeicher und Gleitkommaeinheit entwickelt von John Sofio PDP 11 60 PDP 11 24 erste VLSI PDP 11 fur Unibus mit Fonz 11 F11 Chipset PDP 11 84 VLSI Jaws 11 J11 Chipset PDP 11 94 schnellere Variante der 11 84Q Bus Modelle Bearbeiten nbsp Eine PDP 11 23 Gehauseabdeckung entferntDie folgenden Modelle nutzten den spater eingefuhrten preiswerteren Q Bus bei dem Adress und Datenleitungen zusammengelegt waren PDP 11 03 auch bekannt als LSI 11 03 LSI 11 2 elf halbe kompaktere Version vom Ur LSI 11 PDP 11 23 mit 248 KB Speicher MicroPDP 11 23 MicroPDP 11 73 mit Jaws 11 J 11 Chipset MicroPDP 11 53 11 53 mit 1 5 MB Speicher on board MicroPDP 11 83 MicroPDP 11 93 letztes DEC Q Bus PDP 11 Modell Mentec M100 Redesign der 11 93 von Mentec Mentec M11 Quickware QED 993 PDP 11 93 Prozessor UpgradeboardModelle ohne Bussystem Bearbeiten PDT 11 110 PDT 11 130 PDT 11 150Diese Modelle hatten nur den 16 bit breiten Prozessorbus und dienten als intelligente Terminals Die Serien PDT 11 110 und PDT 11 130 nutzten ein VT100 Terminal Gehause Weitere Workstations Bearbeiten Pro 325 Arbeitsplatzrechner mit Fonz 11 F11 Chipset und Disketten Pro 350 Arbeitsplatzrechner mit Fonz 11 F11 Chipset Disketten und Festplatte Pro 380 Arbeitsplatzrechner mit Jaws 11 J 11 Chipset Disketten und FestplatteNachbauten aus dem Ostblock Bearbeiten Die PDP 11 wurde wegen ihrer technischen Bedeutung auch in der Sowjetunion und ihren verbundeten Staaten ohne Lizenz nachgebaut Beispiele dafur sind SM 4 SM 1420 IZOT 1016 Bulgarien SM 2 SM2 M geschrieben kyrillisch CM2 CM2M CSSR K 1600 DDR Mera Polen I 102 Rumanien SM 4 SM 1420 SM 1600 Elektronika BK 0010 DVK UKNC Sowjetunion TPA 51 Ungarn TPA ung Abk Speicherprogrammierbarer Analysator Exakter Nachbau des PDP 11 40 vom Institut fur Kernphysik KFKI der Ungarischen Akademie der Wissenschaften MTA TPA 11 40 wurde spater in TPA 51 11 40 umbenannt Betriebssysteme BearbeitenFur den PDP 11 waren uber zwanzig bootbare Betriebssysteme jedoch nicht fur alle Kunden weltweit verfugbar Von DEC DOS BATCH RSX 11 IAS P OS CAPS 11 RT 11 RSTS E Ultrix 11 DSM 11 GAMMA 11 P OSVon Drittanbietern ANDOS MKDOS MONECS CSIDOS TRIPOS PEARL Operating System Unix z B Version 7 Unix und BSD DEMOS Sowjetunion TSX Plus FuzzballBefehle Bearbeiten nbsp CPU Karte einer PDP 11Die PDP 11 hat eine Wortbreite von 16 bit Es werden Einadressbefehle Zweiadressbefehle und Sprunge unterschieden Die Adressierung erfolgt jeweils uber sechs Bit wobei die ersten drei Bit fur die acht Adressierungsmodi verwendet werden und die letzten drei fur die Auswahl eines der acht Register R0 bis R7 Viele Befehle gibt es als Wortbefehle und als Bytebefehle das heisst sie operieren mit 16 Bit bzw 8 Bit Einheiten Die Byteversionen der doppelt vorhandenen Befehle sind in den folgenden Aufstellungen in Klammern angegeben 1 Adress Befehle Bearbeiten 0 9 10 12 13 15OP Code Mode RegisterDie wichtigsten 1 Adress Befehle sind INC INCB X Inkrementieren des Wertes um 1 DEC DECB X Dekrementieren des Wertes um 1 COM COMB X Einerkomplement von X NEG NEGB X Zweierkomplement von X Negierung ASR ASRB X Arithmetisches Schieben nach rechts ASL ASLB X Arithmetisches Schieben nach links ROR RORB X Nach rechts rotieren ROL ROLB X Nach links rotieren2 Adress Befehle Bearbeiten 2 Adress Befehle folgen immer dem Muster Befehl Quelle Ziel Beim Befehl ADD R1 R2 wird also gerechnet R2 R1 R2 0 3 4 6 7 9 10 12 13 15OP Code Mode Register Mode RegisterDie wichtigsten 2 Adress Befehle sind MOV MOVB A B Kopieren B A ADD A B Addition B B A SUB A B Subtraktion B B A MUL A B Multiplikation B B A DIV A B Division B B A Sprunge Bearbeiten Bei Sprungen wird immer ein 8 Bit Offset angegeben Dies gibt die Anzahl der Worte an um die gesprungen wird 0 7 8 15OP Code OffsetDie PDP 11 bringt sehr viele Sprungbefehle mit insgesamt 18 verschiedene Adressierungsmodi Bearbeiten Die Adressierungsmodi unterscheiden sich bei der PDP 11 abhangig davon ob als Register R0 bis R5 Allzweckregister R6 Stapelzeiger bzw Stackpointer SP oder R7 Programmzahler PC verwendet wird Allzweckregister R0 bis R5 Bearbeiten Bitfolge Kurzform Name Beschreibung000 Rn Register direkt Der Operand ist Register Rn 001 Rn Register indirekt die Adresse des Operandes ist im Register Rn 010 Rn Postautoinkrement die Adresse des Operandes ist im Register Rn danach wird Rn um eine Adressierungseinheit Byte Word operation 1 2 erhoht 011 Rn Postautoinkrement indirekt die Adresse der Adresse des Operandes ist im Register Rn danach wird Rn um 2 erhoht 100 Rn Preautodekrement Zuerst wird Rn um eine Adressierungseinheit verringert die Adresse des Operandes ist im Register Rn 101 Rn Preautodekrement indirekt Zuerst wird Rn um 2 verringert die Adresse der Adresse des Operandes ist im Register Rn 110 X Rn Index X und der Wert in Rn werden addiert und der Wert verwendet der an der Speicherstelle steht die durch diese Summe gegeben ist 111 X Rn Index X und der Wert in Rn werden addiert und der Wert verwendet der an der Speicherstelle steht auf die die Speicherstelle zeigt die durch diese Summe gegeben ist Eine Adressierungseinheit ist 1 fur Byte Befehle und 2 fur Wort Befehle Stapelzeiger R6 Bearbeiten R6 ist ein Zeiger auf den Stapelspeicher der vom Prozessor bei Interrupts zur Zwischenspeicherung des aktuellen Maschinenzustands verwendet wird Der Stapelzeiger dient der Verwaltung des Stapelspeichers er muss grundsatzlich eine Wortadresse das heisst eine gerade Adresse enthalten Deshalb wird im Unterschied zu den Allzweckregistern bei den Adressmodes Autoinkrement bzw Autodekrement das Register R6 immer um 2 erhoht oder erniedrigt unabhangig ob es sich um einen Byte oder Wortbefehl handelt Daruber hinaus folgen die Adressierungsmodi der oben stehenden Tabelle der Allzweckregister Programmzahler R7 bzw PC Bearbeiten Bitfolge Kurzform Name Beschreibung010 N Immediate Der Wert folgt dem Befehl im Programmspeicher 011 A Absolute Die Speicheradresse des Wertes folgt dem Befehl im Programmspeicher 110 A Relative Die Speicheradresse des Wertes ist die Summe aus dem aktuellen Programmzahler und dem Offset das dem Befehl im Programmspeicher folgt 111 A Relative Indirekt An der Speicheradresse die die Summe des aktuellen Programmzahlers und dem dem Befehl folgenden Offset ist steht die Adresse an der der Wert zu finden ist Trivia BearbeitenIm Film 23 Nichts ist so wie es scheint wird die PDP 11 mehrmals erwahnt Im Film wird falschlicherweise behauptet eine PDP 11 benotige zwangslaufig einen Dreiphasenwechselstromanschluss mit 380 V Obwohl es einige grosse PDP 11 Modelle gibt die tatsachlich Dreiphasenwechselstrom benotigen kommt doch die uberwiegende Mehrheit der PDP 11 Rechner mit einphasiger Wechselspannung von 110 V bzw 220 V aus Da jedoch im Film ein Einphasenstecker in 32 Ampere Ausfuhrung zu sehen ist konnte auch gemeint sein dass sich die Maschine nicht aus einer normalen Steckdose versorgen lasst Tatsachlich ist das im Film gezeigte Gerat jedoch keine PDP 11 sondern ein IBM AS 400 5 Der amerikanische Informatiker Dennis Ritchie entwickelte Anfang der 1970er Jahre unter Unix auf einer PDP 11 die Programmiersprache C 6 Konkurrenzprodukte BearbeitenSeries 1 von IBM Nova von Data GeneralVirtualisierung Bearbeiten nbsp miniaturisierte Version einer PDP 11 70 basierend auf einem Raspberry Pi Baujahr 2018 Derzeit gibt es vier Emulatoren fur PDP 11 Server Name Aktuelle Version Letzte Veroffentlichung System Plattform Lizenz WeblinkErsatz 11 5 3 1 September 2009 DEC PDP 11 DOS Windows Linux Shareware dbit comts10 021004 4 Oktober 2002 DEC PDP 10 DEC PDP 11 DEC VAX Unix Linux GPL sourceforge netSIMH 3 10 7 16 April 2019 Verschiedene alte Computer Cross platform Open source simh trailing edge comCharon CHARON PDP PDP 11 93 Q BUS PDP 11 94 UNIBUS Windows Kommerziell stromasys chWeblinks Bearbeiten nbsp Commons PDP 11 Sammlung von Bildern Videos und Audiodateien Jay West pdp11 Abgerufen am 21 April 2012 amerikanisches Englisch 1999 2011 Julius Schmidt PDP 11 emulator Abgerufen am 21 April 2012 PDP 11 Processor Handbook University of Calgary 29 Januar 2001 abgerufen am 21 April 2012 amerikanisches Englisch Cory Doctorow Learn to program a PDP 11 videos In Boing Boing Happy Mutants 29 Januar 2009 abgerufen am 21 April 2012 amerikanisches Englisch CHARON PDP Stromasys abgerufen am 21 Dezember 2015 englisch SM 4 als PDP 11 Nachbau auf robotron computermuseum efb 1 deEinzelnachweise Bearbeiten Larry McGowan How the PDP 11 Was Born Abgerufen am 22 Januar 2015 Paul Cerruzi A History of Modern Computing MIT Press 2003 ISBN 0 262 53203 4 page 199 Nuke plants to rely on PDP 11 code until 2050 engl abgerufen am 19 Juni 2013 Bedienungsanleitung der MicroPDP 11 aus dem Jahr 1985 engl abgerufen am 2 Mai 2015 starringthecomputer com Die Unix Story Geschichtliches Sachbuch uber das Computerbetriebssystem Unix von Autor Brian W Kernighan 254 Seiten Oktober 2020 dpunkt verlag GmbH Heidelberg S 100 The Computer History Simulation Project Releases In Github simh 6 Oktober 2019 abgerufen am 8 Oktober 2019 Abgerufen von https de wikipedia org w index php title PDP 11 amp oldid 231878128