www.wikidata.de-de.nina.az
EUV Lithografie auch kurz EUVL ist ein Fotolithografie Verfahren das elektromagnetische Strahlung mit einer Wellenlange von 13 5 nm 91 82 eV nutzt sogenannte extrem ultraviolette Strahlung englisch extreme ultra violet EUV EUV Lithografie ermoglicht es nach Ausreizen bisheriger Belichtungsmethoden die Strukturverkleinerung in der Halbleiterindustrie fortzusetzen um kleinere effizientere und schnellere integrierte Schaltkreise herstellen zu konnen Inhaltsverzeichnis 1 Hintergrund und wesentliche Probleme 2 EUV Lithografiesysteme 2 1 Strahlungsquelle 2 1 1 Schutz vor Ruckstanden 2 1 2 Kollektor 2 2 Abbildende Optik und Maske 2 3 Wafer und Fotolack 3 Hersteller und praktischer Einsatz 4 Weiterentwicklung des Verfahrens 5 Auszeichnungen 6 Literatur 7 Weblinks 8 EinzelnachweiseHintergrund und wesentliche Probleme BearbeitenDie EUV Lithografie kann als Weiterfuhrung der optischen Lithografie mit kleineren Wellenlangen angesehen werden Der Technologiesprung von den um 2008 verwendeten 193 nm Belichtungsanlagen zu 13 5 nm erfordert die Losung einer ganzen Reihe technischer Probleme Im Februar 2008 prasentierten IBM und AMD die erste vollstandige Belichtung eines Wafers mit EUVL mit einem realen Chip in 45 nm Technologie Technische Details wurden nicht veroffentlicht 1 Die Verringerung der Wellenlange bringt eine Vielzahl von Herausforderungen und technologischen Anderungen mit sich die weitaus komplexer sind als bei den bisherigen Verringerungen der Wellenlange beispielsweise von 248 nm KrF Excimerlaser auf 193 nm ArF Excimerlaser Neben Problemen die bei jeder Wellenlangenverringerung auftreten wie Bereitstellung von hochwertigen und stabilen Strahlungsquellen ausreichender Strahlungsleistung oder einem Fotolacksystem das den hohen Anforderungen an die Auflosung und Atzresistenz gerecht wird kommen bei der EUV Lithografie vor allem folgende Herausforderungen neu hinzu Die hohe Absorption bringt es mit sich dass keine refraktiven Optiken z B Linsen verwendet werden konnen stattdessen mussen Spiegeloptiken Optiken deren optische Bauelemente Spiegel sind eingesetzt werden Die Masken mussen ebenfalls stark reflektierende rund 70 Oberflachen besitzen und unterscheiden sich somit von den konventionellen Belichtungsmasken Laser sind nicht mehr als Lichtquellen verfugbar so dass Plasmaquellen verwendet werden mussen die Ruckstande in das System einbringen und schlechtere Eigenschaften als Laser haben Die EUV Technik stellt aufgrund der Rayleigh Streuung an die Formtreue der Masken Spiegel und der zu belichtenden Materialien viel hohere Anforderungen bezuglich der Oberflachenrauheit 0 25 nm Mit ihrer sehr kurzen Wellenlange von 13 5 nm wird die EUV Strahlung schon von Luft und von den meisten Materialien stark absorbiert 2 Die mittlere Absorptionslange in Luft liegt unter einem Millimeter somit wird die Verwendung von Vakuum unumganglich EUV Lithografiesysteme BearbeitenEin EUV Lithografiesystem besteht vereinfacht aus folgenden Elementen Strahlungsquelle mit Schutz vor Ruckstanden und Kollektor abbildende Optik und Maske Wafer mit FotolackStrahlungsquelle Bearbeiten EUV Strahlung wird bei der Erzeugung von Plasmen frei Solche Plasmen werden in Gasen durch starke elektrische Entladungen englisch gas discharge produced plasma GDPP oder durch Fokussierung von Laserstrahlung englisch laser produced plasma LPP LPP Strahlungsquelle erzeugt Je nach Art des Mediums liegt ein Teil des emittierten Strahlungsspektrums im gewunschten Bereich von 2 Bandbreite der Zentralwellenlange 13 5 nm Als Medium wurde zunachst Xenon verwendet wegen einer hoheren Konversionseffizienz konnte sich Zinn vgl 3 durchsetzen Diese Technik wurde in den letzten Jahren von den drei wichtigsten Firmen auf diesem Gebiet Xtreme Technologies Ushio Lighting Cymer heute Teil von ASML und das aktuell verwendete System und Gigaphoton kontinuierlich weiterentwickelt Die Lichtdosis die auf den Fotolack einfallt hat direkten Einfluss auf die Prozessdauer und somit den Waferdurchsatz Laut Literatur wurde fur die erste Generation von EUV Lithografieanlagen eine Strahlungsleistung von ca 100 W im Bereich von 13 5 nm benotigt um einen ausreichend hohen und halbwegs okonomischen Durchsatz von ca 60 Wafern pro Stunde fur 28 nm Produkte und darunter zu gewahrleisten 2016 stellte ASML ein Laborsystem mit einer Strahlungsleistung von 210 W vor In aktuellen Vorrichtungen werden zunachst 50 000 Zinntropfen pro Sekunde erzeugt Diese Tropfen mit einem Durchmesser von 25 Mikrometern fallen in eine Vakuumkammer in der ihre Position mittels einer Hochgeschwindigkeitskamera gemessen wird Jeder Tropfen wird dann zuerst von einem Laser Vorpuls getroffen der ihn in eine pfannkuchenartige Form bringt um dann im folgenden Schritt mit dem Hauptpuls Laser in Plasma umgewandelt zu werden das EUV Licht mit einer Wellenlange von 13 5 Nanometern emittiert 4 Schutz vor Ruckstanden Bearbeiten Das in der Strahlungsquelle erzeugte Plasma besteht aus Ionen und Elektronen die sich mit grosser Geschwindigkeit bewegen Um zu verhindern dass diese Plasmateilchen Schaden an den verwendeten Optiken verursachen werden sie abgefangen Folienfallen Puffergas oder es werden Reinigungsverfahren chemische Prozesse oder thermische Verfahren fur betroffene Optiken angewendet Trotzdem kommt es zu einer stetigen Verschmutzung der Spiegeloberflachen die eine Reinigung im Abstand von etwa 100 Stunden notwendig macht Diese im Vergleich zur 193 nm Lithografie kurzen Intervalle steigern den Wartungsaufwand und vermindern infolgedessen den Durchsatz Kollektor Bearbeiten Das Plasma in der Quelle emittiert Strahlung in alle Raumrichtungen Damit diese Strahlung fur einen Belichtungsprozess nutzbar wird muss ein moglichst grosser Teil davon durch eine Sammeloptik Kollektor in Richtung der eigentlichen Lithografieanlage reflektiert werden Fur Quellen nach dem GDPP Prinzip engl gas discharge produced plasma werden angepasste Wolter Teleskope verwendet in denen die Strahlung unter streifendem Einfallswinkel reflektiert wird Fur LPP Quellen engl laser produced plasma kommen Bragg Spiegel 3 aus Molybdan und Silizium bei nahezu senkrechtem Einfallswinkel zum Einsatz Als optische Schnittstelle zur Lithografieanlage ist ein Zwischenfokus definiert engl intermediate focus IF an dem mindestens 100 W EUV Strahlung 2 Prozent spektrale Bandbreite zur Verfugung gestellt werden mussen Abbildende Optik und Maske Bearbeiten Durch ein komplexes optisches System von sechs oder mehr Spiegeln wird die Strahlung fur den eigentlichen Belichtungsprozess vorbereitet Fur EUV Strahlung kommen Bragg Spiegel engl multilayer mirror zum Einsatz die aus einer grossen Anzahl z B 50 oder 100 von Molybdan Silizium Schichtpaaren bestehen Die Moglichkeit solche Mo Si Spiegel herzustellen und ihr im Vergleich hoher Reflexionsgrad sind einer der Grunde fur die Wahl der Wellenlange von 13 5 nm 3 Einer Alterung der Spiegel durch Diffusion der Atome von einer Schicht in eine andere kann durch Zwischenschichten von einigen Atomlagen Dicke vorgebeugt werden Die Oberflache des Bragg Spiegels wird durch eine Schutzschicht engl capping layer geschutzt Die Anforderungen an die Ebenheit ca 2 nm 3 fur einen Spiegel mit 30 cm Durchmesser der Spiegelsubstrate und die Qualitat der Schichten sind enorm und stellen technologische Herausforderungen dar Der theoretisch erreichbare Reflexionsgrad dieser Spiegel liegt bei etwa 72 Prozent 3 in einem Sechs Spiegel System gehen damit mehr als 86 Prozent der Strahlung an diesen Spiegeln verloren Daher mussen zum einen ausreichend starke Strahlungsquellen Quellen mit 100 W Strahlungsleistung 3 bei 13 5 nm werden vermutlich in der ersten Generation von EUV Anlagen benotigt zur Verfugung stehen zum anderen kann nur eine sehr begrenzte Anzahl optischer Elemente genutzt werden Aufgrund der hohen Strahlungsleistungen und der hohen Absorption muss das System zudem starker gekuhlt werden als konventionelle Systeme trotz der Vorgaben bezuglich konstanter Temperatur EUV Strahlung wird vor allem an den inneren Orbitalen der Atome absorbiert Die relativ hohe Absorption an Sauerstoff Argon oder Stickstoff Atomen bedeutet zudem dass die Strahlung bereits im optischen System merklich abgeschwacht wird 2 Daher muss sich das ganze optische System von der Quelle bis zum Wafer zumindest in einem schwachen Vakuum befinden 3 Dies erhoht den technischen Aufwand gegenuber 193 nm Lithografiesystem weiter Da fur EUV Strahlung keine transparenten Medien zur Verfugung stehen wird die Lithografiemaske ebenfalls als Bragg Spiegel ausgefuhrt der in seiner Oberflache ein Bild der herzustellenden Strukturen tragt Dazu wird eine absorbierende Schicht aus Chrom oder Tantalnitrid an der Maskenoberflache mittels Trockenatzverfahren strukturiert 5 6 Eine besondere Schwierigkeit liegt in der defektfreien Ausfuhrung der Maske Sowohl Strukturierungsfehler in der Absorberschicht als auch Defekte in den darunterliegenden Multilagen konnen zu Abbildungsfehlern fuhren Kritische Defektgrossen liegen dabei deutlich unter 30 nm 7 Wird durch ein Partikel unterhalb der Multilagen die Ebenheit der Schichten verandert kann ein Phasendefekt entstehen Befindet sich dagegen ein Partikel im oberen Bereich der Multilagen entsteht durch die Absorption des Partikels ein Amplitudendefekt Defekte in den Multilagen konnen haufig nur unter EUV Strahlung detektiert werden wodurch die Maskeninspektion sehr aufwendig wird Die Defektfreiheit der Multilagen der Maske ist eine der grossten technologischen Herausforderungen der EUV Lithographie 8 Aufgrund des schragen Einfalls der EUV Strahlung typischerweise 5 gegenuber der Oberflachennormale entsteht durch Unebenheiten der Maskenoberflache ein lateraler Versatz des Maskenbildes der zu Lagefehlern der abbildenden Struktur auf der Waferoberflache fuhrt Die Masken mussen daher eine Ebenheit von kleiner als 50 nm haben wodurch die Herstellung der Maskensubstrate sehr aufwendig und teuer wird 9 Wafer und Fotolack Bearbeiten Von der Maske wird die Strahlung auf den mit einem geeigneten Fotolack Resist beschichteten Wafer reflektiert Die chemischen und photochemischen Eigenschaften des Fotolacks bestimmen wesentlich die Qualitat der herstellbaren Strukturen Gewunscht ist eine hohe Empfindlichkeit fur EUV Strahlung eine hohe Auflosung und eine geringe Kantenrauigkeit die 3s Abweichung der vorhergesagten Linienkante z B 1 5 nm fur den 45 nm Technologieknoten 2 Die wesentliche Herausforderung besteht darin diese Eigenschaften gleichzeitig mit einem Fotolack zu realisieren Der Fotolack wird nach der Belichtung in einer Prozesskette entwickelt um schliesslich die gewunschten Strukturen zu erhalten In der konventionellen Fotolithografie werden typischerweise langkettige organische Polymere als Fotolacke verwendet Durch die Strahlung wird im sogenannten Fotosauregenerator engl photo acid generator PAG ein Proton freigesetzt das mit organischen Schutzgruppen in den Polymerseitenketten reagiert Hierdurch wird die Loslichkeit des belichteten Polymers erhoht so dass die belichteten Bereiche durch ein organisches Losungsmittel Entwickler entfernt werden konnen In diesem Fall handelt es sich um einen sogenannten Positivlack da die belichteten Strukturen entfernt werden Alternativ konnen Negativlacke eingesetzt werden bei denen durch strahlungsinduzierte Quervernetzung der Polymerketten die Loslichkeit der belichteten Bereiche reduziert wird Diese Lacke erzielen jedoch typischerweise eine geringere Auflosung als die Positivlacke 10 Aufgrund der hohen Energie der EUV Photonen tragen pro Flache nur wenige Photonen zur Belichtung bei Eine zu hohe Empfindlichkeit der Lacke fuhrt daher zu einer Erhohung der Kantenrauhigkeit aufgrund statistischer Shot Noise Effekte 11 Bei den geforderten Kantenrauhigkeiten im Bereich von einem Nanometer werden ausserdem bereits die mittleren Langen der Polymerketten erreicht so dass die molekulare Struktur der Lacke limitierend fur die Kantenrauhigkeit ist Aus diesem Grund werden auch kurzkettige Polymere als EUV Lacke untersucht 12 Diese konnen jedoch zu einem erhohten Ausgasen im Vakuum fuhren Dadurch besteht die Gefahr dass die Spiegeloptik durch eine Kohlenstoffschicht kontaminiert wird und die Transmission der Optik deutlich reduziert wird Die Absorption der Strahlung ist bei der EUV Lithografie allgemein ein wichtiges Thema Verantwortlich dafur sind nicht nur die verhaltnismassig geringen Strahlungsleistungen heutiger EUV Quellen und der geringe Absorptionsquerschnitt der generell mit der Wellenlange abnimmt sondern auch der Umstand dass die Absorption in diesem Wellenlangenbereich hauptsachlich an den inneren Orbitalen der Atome erfolgt Daher hangt die Absorption vor allem von der elementaren und nicht der molekularen Zusammensetzung der Fotolacke ab So zeigen Sauerstoff und Fluor einen der hochsten Absorptionskoeffizienten fur EUV Strahlung Die Entwicklung von EUV Fotolacken ist damit relativ kompliziert da bestehende chemisch verstarkte hochauflosende Fotolacke nicht wirklich geeignet sind 2 Die relativ hohe Absorption an Sauerstoff Argon oder Stickstoff Atomen bedeutet zudem dass die Strahlung bereits im optischen System merklich abgeschwacht wird und daher Vakuum eingesetzt werden muss siehe auch Abschnitt Abbildende Optik und Maske 3 Bei einer hohen EUV Absorption der Fotolacke mussen die Lackschichten dunner als ca 100 nm sein Diese Anforderung stellt fur die Strukturierung der Wafer eine grosse Herausforderung dar da wahrend des Trockenatzens des Wafers auch die Dicke der Lackschicht reduziert wird Die Atzresistenz des entwickelten Lacks spielt daher ebenfalls eine wichtige Rolle Je nach Anwendung mussen daher unter Umstanden Mehrlagenfotolacke zur Strukturierung verwendet werden 10 Hersteller und praktischer Einsatz BearbeitenDie ersten EUV Anlagen fur die Serienproduktion wurden 2017 von ASML an Kunden ausgeliefert 13 Stand 2022 ist ASML weiterhin der einzige Hersteller von EUV Anlagen 14 Die wichtigsten Kunden fur EUV Belichtung sind die Chiphersteller TSMC Intel und Samsung 13 Samsung begann mit der Herstellung der ersten 7LPP Chips bei denen einzelne Fertigungsschritte mit EUV Belichtung durchgefuhrt wurden im Jahr 2018 15 TSMC folgte 2019 mit der Auslieferung erster N7 Chips 16 Intel plant 2022 erste mit EUV Belichtung hergestellte Chips in Intel 4 Technologie auszuliefern 17 Weiterentwicklung des Verfahrens BearbeitenSeit geraumer Zeit arbeiten Forschungseinrichtungen und Unternehmen wie ASML an der Weiterentwicklung von EUV Lithografie mittels numerischer Aperturen und anamorpher Linsen Das High NA EUV genannte Verfahren soll unter Inkaufnahme von Geschwindigkeitsverlusten in der Fertigung die weitere Miniaturisierung erlauben 18 Auszeichnungen Bearbeiten2020 wurden Peter Kurz Carl Zeiss SMT Sergiy Yulin Fraunhofer Institut fur Angewandte Optik und Feinmechanik und Michael Kosters Trumpf fur ihre gemeinsamen Beitrage zur EUV Lithografie mit dem Deutschen Zukunftspreis ausgezeichnet 19 Literatur BearbeitenBanqiu Wu Ajay Kumar Extreme ultraviolet lithography A review In Journal of Vacuum Science amp Technology B Microelectronics and Nanometer Structures Band 25 Nr 6 2007 S 1743 1761 doi 10 1116 1 2794048 Uwe Stamm Heinrich Schwoerer Rainer Lebert Strahlungsquellen fur die EUV Lithographie In Physik Journal Band 1 Nr 12 2002 S 33 49 pro physik de PDF Uwe Stamm Extreme ultraviolet light sources for use in semiconductor lithography state of the art and future development In Journal of Physics D Applied Physics Band 37 Nr 23 2004 S 3244 3253 doi 10 1088 0022 3727 37 23 005 Vivek Bakshi Hrsg EUV Lithography SPIE Press Bellingham WA 2008 ISBN 978 0 8194 8070 5 Weblinks BearbeitenSusanne Preuss Halbleiter Vier Chips ersetzen eine Festplatte In FAZ NET 23 Oktober 2016 abgerufen am 22 Marz 2020 Einzelnachweise Bearbeiten AMD Testing Functional Devices Made Using Extreme Ultra Violet EUV Lithography on Test Chip AMD Pressemeldung 26 Februar 2008 a b c d Daniel Bratton Da Yang Junyan Dai Christopher K Ober Recent progress in high resolution lithography In Polymers for Advanced Technologies Band 17 Nr 2 1 Januar 2006 S 94 103 doi 10 1002 pat 662 a b c d e f g h Christian Wagner Noreen Harned EUV lithography Lithography gets extreme In Nat Photon Band 4 Nr 1 2010 S 24 26 doi 10 1038 nphoton 2009 251 Why EUV Is So Difficult Semiconductor Engineering 17 November 2016 F Letzkus J Butschke M Irmscher F M Kamm C Koepernik J Mathuni J Rau and G Ruhl Dry etch processes for the fabrication of EUV masks In Microelectronic Engineering Vol 73 74 2004 S 282 288 doi 10 1016 j mee 2004 02 054 F Sobela Absorber stack optimization towards EUV lithography mask blank pilot production In 24th Annual BACUS Symposium on Photomask Technology Proc SPIE 5567 S 781 doi 10 1117 12 568787 PDF abgerufen am 12 Juli 2010 Ted Liang et al Growth and Printability of Multilayer Phase Defects on EUV Mask Blanks Memento vom 27 Dezember 2015 imInternet Archive In 2007 EUV Symposium Sapporo Japan Abgerufen am 13 Juli 2010 Vortragsfolien SEMATECH and Carl Zeiss to Develop First Ever EUV Aerial Imaging Tool Memento vom 17 Juli 2010 imInternet Archive 8 Juli 2010 abgerufen am 13 Juli 2010 Phil Seidel Chris Van Peski Stefan Wurm EUV Substrate Blank and Mask Flatness Current Specifications amp Issues Overview Memento vom 30 Dezember 2015 imInternet Archive In EUV Mask Flatness amp Carrier Loadport Workshop October 19th 2006 Barcelona Spain Abgerufen am 13 Juli 2010 Vortragsfolien a b Robert L Brainard Photoresists for Extreme Ultraviolet Lithography In Vivek Bakshi Hrsg EUV Lithography SPIE Press Bellingham WA 2008 ISBN 978 0 8194 8070 5 A R Neureuther et al Shot noise models for sequential processes and the role of lateral mixing In Journal of Vacuum Science and Technology B 24 Nr 4 2006 S 1902 1908 Daiju Shiono et al LER evaluation of molecular resist for EUV lithography In Microelectronic Engineering 84 Nr 5 8 2007 S 1084 1087 a b The 150 Million Machine Keeping Moore s Law Alive In wired com 30 August 2021 abgerufen am 27 August 2022 Inside ASML the company advanced chipmakers use for EUV lithography In cnbc com 23 Marz 2022 abgerufen am 27 August 2022 Auftragsfertiger Samsung startet 7LPP Herstellung mit EUV Golem de In golem de 18 Oktober 2018 abgerufen am 27 August 2022 TSMC N7 EUV Process Technology in High Volume 6 nm N6 Coming Soon In anandtech com 8 Oktober 2019 abgerufen am 27 August 2022 Intel 4 is a Major Step on Intel s Path Back to Semiconductor Dominance ExtremeTech In extremetech com Abgerufen am 27 August 2022 Harry Jay Levinson High NA EUV lithography current status and outlook for the future In Japanese Journal of Applied Physics 11 Januar 2022 ISSN 0021 4922 doi 10 35848 1347 4065 ac49fa iop org abgerufen am 23 Januar 2022 Team 1 2002 EUV Lithographie neues Licht fur das digitale Zeitalter Preistrager des Deutschen Zukunftspreises 2020 Abgerufen von https de wikipedia org w index php title EUV Lithografie amp oldid 238801211